diff options
Diffstat (limited to 'usrp2')
| -rw-r--r-- | usrp2/control_lib/settings_readback_bus_fifo_ctrl.v | 15 | ||||
| -rw-r--r-- | usrp2/control_lib/simple_spi_core.v | 19 | ||||
| -rw-r--r-- | usrp2/top/N2x0/bootloader.rmi | 632 | ||||
| -rw-r--r-- | usrp2/top/N2x0/u2plus_core.v | 4 | ||||
| -rw-r--r-- | usrp2/top/USRP2/u2_core.v | 4 |
5 files changed, 341 insertions, 333 deletions
diff --git a/usrp2/control_lib/settings_readback_bus_fifo_ctrl.v b/usrp2/control_lib/settings_readback_bus_fifo_ctrl.v index 18119d2bd..f99d3969d 100644 --- a/usrp2/control_lib/settings_readback_bus_fifo_ctrl.v +++ b/usrp2/control_lib/settings_readback_bus_fifo_ctrl.v @@ -19,7 +19,6 @@ module settings_readback_bus_fifo_ctrl #( - parameter NUM_PERFS = 4, parameter FIFO_DEPTH = 6, //64 entries depth parameter PROT_DEST = 0 //protocol framer destination ) @@ -30,8 +29,8 @@ module settings_readback_bus_fifo_ctrl //current system time input [63:0] vita_time, - //ready signals for multiple peripherals - input [NUM_PERFS-1:0] perfs_ready, + //ready signal for multiple peripherals + input perfs_ready, //input fifo36 interface control input [35:0] in_data, input in_valid, output in_ready, @@ -248,12 +247,10 @@ module settings_readback_bus_fifo_ctrl `endif //action occurs in the event state and when there is fifo space (should always be true) - //the third condition is that all peripherals in the mask are ready/active high + //the third condition is that all peripherals in the perfs signal are ready/active high //the fourth condition is that is an event time has been set, action is delayed until that time - wire [NUM_PERFS-1:0] perfs_mask = command_hdr_reg[10+NUM_PERFS-1:10]; - wire perfs_in_mask_ready = (perfs_ready & perfs_mask) == perfs_mask; - wire time_ready = (out_command_has_time)? (now || late || clear) : 1; - wire action = (cmd_state == EVENT_CMD) && ~result_fifo_full && perfs_in_mask_ready && time_ready; + wire time_ready = (out_command_has_time)? (now || late) : 1; + wire action = (cmd_state == EVENT_CMD) && ~result_fifo_full && perfs_ready && time_ready; assign command_fifo_read = action; assign result_fifo_write = action; @@ -275,7 +272,7 @@ module settings_readback_bus_fifo_ctrl end EVENT_CMD: begin // poking and peeking happens here! - if (action) cmd_state <= LOAD_CMD; + if (action || clear) cmd_state <= LOAD_CMD; end endcase //cmd_state diff --git a/usrp2/control_lib/simple_spi_core.v b/usrp2/control_lib/simple_spi_core.v index dbfa5ad8b..31bc26f95 100644 --- a/usrp2/control_lib/simple_spi_core.v +++ b/usrp2/control_lib/simple_spi_core.v @@ -101,17 +101,20 @@ module simple_spi_core localparam CLK_REG = 2; localparam CLK_INV = 3; localparam POST_IDLE = 4; + localparam IDLE_SEN = 5; reg [2:0] state; - assign ready = (state == WAIT_TRIG); + reg ready_reg; + assign ready = ready_reg; //serial clock either idles or is in one of two clock states reg sclk_reg; assign sclk = sclk_reg; //serial enables either idle or enabled based on state - wire [23:0] sen24 = (ready)? SEN_IDLE : (SEN_IDLE ^ slave_select); + wire sen_is_idle = (state == WAIT_TRIG) || (state == IDLE_SEN); + wire [23:0] sen24 = (sen_is_idle)? SEN_IDLE : (SEN_IDLE ^ slave_select); reg [WIDTH-1:0] sen_reg; always @(posedge clock) sen_reg <= sen24[WIDTH-1:0]; assign sen = sen_reg; @@ -140,21 +143,23 @@ module simple_spi_core if (reset) begin state <= WAIT_TRIG; sclk_reg <= CLK_IDLE; + ready_reg <= 0; end else begin case (state) WAIT_TRIG: begin if (trigger_spi) state <= PRE_IDLE; + ready_reg <= ~trigger_spi; + dataout_reg <= mosi_data; sclk_counter <= 0; + bit_counter <= 0; sclk_reg <= CLK_IDLE; end PRE_IDLE: begin if (sclk_counter_done) state <= CLK_REG; sclk_counter <= sclk_counter_next; - dataout_reg <= mosi_data; - bit_counter <= 0; sclk_reg <= CLK_IDLE; end @@ -180,6 +185,12 @@ module simple_spi_core end POST_IDLE: begin + if (sclk_counter_done) state <= IDLE_SEN; + sclk_counter <= sclk_counter_next; + sclk_reg <= CLK_IDLE; + end + + IDLE_SEN: begin if (sclk_counter_done) state <= WAIT_TRIG; sclk_counter <= sclk_counter_next; sclk_reg <= CLK_IDLE; diff --git a/usrp2/top/N2x0/bootloader.rmi b/usrp2/top/N2x0/bootloader.rmi index c420fca2a..60706081c 100644 --- a/usrp2/top/N2x0/bootloader.rmi +++ b/usrp2/top/N2x0/bootloader.rmi @@ -1,5 +1,5 @@ -defparam bootram.RAM0.INIT_00=256'h00000000_00000000_00000000_d7de0400_3a0b0b80_80e4940c_82700b0b_0b0b0b0b; -defparam bootram.RAM0.INIT_01=256'h00000000_00000000_00000000_800c0400_880c840c_80d8a82d_88080b0b_80088408; +defparam bootram.RAM0.INIT_00=256'h00000000_00000000_00000000_d7e40400_3a0b0b80_80e49c0c_82700b0b_0b0b0b0b; +defparam bootram.RAM0.INIT_01=256'h00000000_00000000_00000000_800c0400_880c840c_80d8ae2d_88080b0b_80088408; defparam bootram.RAM0.INIT_02=256'h00000000_00000000_04000000_ffff0652_832b2a83_81058205_72830609_71fd0608; defparam bootram.RAM0.INIT_03=256'h83a70400_0b0b0b0b_7383ffff_2b2b0906_05820583_83060981_83ffff73_71fd0608; defparam bootram.RAM0.INIT_04=256'h00000000_00000000_53510400_070a8106_73097306_09060906_72057373_72098105; @@ -18,58 +18,58 @@ defparam bootram.RAM0.INIT_10=256'h00000000_00000000_00000000_00000000_00000000_ defparam bootram.RAM0.INIT_11=256'h00000000_00000000_00000000_00000000_00000000_04000000_05055351_72720981; defparam bootram.RAM0.INIT_12=256'h00000000_00000000_00000000_00000000_00000000_07535104_73730906_72097206; defparam bootram.RAM0.INIT_13=256'h00000000_00000000_04000000_81ff0652_1010102a_81058305_72830609_71fc0608; -defparam bootram.RAM0.INIT_14=256'h00000000_00000000_88aa0400_060b0b0b_10100508_80738306_0b0b80e4_71fc0608; -defparam bootram.RAM0.INIT_15=256'h00000000_0c510400_0c840c80_80085688_ff2d5050_0b0b80ce_88087575_80088408; -defparam bootram.RAM0.INIT_16=256'h00000000_0c510400_0c840c80_80085688_b12d5050_0b0b80d0_88087575_80088408; +defparam bootram.RAM0.INIT_14=256'h00000000_00000000_88aa0400_060b0b0b_10100508_88738306_0b0b80e4_71fc0608; +defparam bootram.RAM0.INIT_15=256'h00000000_0c510400_0c840c80_80085688_852d5050_0b0b80cf_88087575_80088408; +defparam bootram.RAM0.INIT_16=256'h00000000_0c510400_0c840c80_80085688_b72d5050_0b0b80d0_88087575_80088408; defparam bootram.RAM0.INIT_17=256'h04000000_07515151_05ff0506_73097274_70547106_8106ff05_0509060a_72097081; defparam bootram.RAM0.INIT_18=256'h51040000_06075151_7405ff05_06730972_05705471_098106ff_0509060a_72097081; defparam bootram.RAM0.INIT_19=256'h00000000_00000000_00000000_00000000_00000000_00000000_00000000_05ff0504; -defparam bootram.RAM0.INIT_1A=256'h00000000_00000000_00000000_00000000_00000000_51040000_80e4900c_810b0b0b; +defparam bootram.RAM0.INIT_1A=256'h00000000_00000000_00000000_00000000_00000000_51040000_80e4980c_810b0b0b; defparam bootram.RAM0.INIT_1B=256'h00000000_00000000_00000000_00000000_00000000_00000000_04000000_71810552; defparam bootram.RAM0.INIT_1C=256'h00000000_00000000_00000000_00000000_00000000_00000000_00000000_00000000; defparam bootram.RAM0.INIT_1D=256'h00000000_00000000_00000000_00000000_00000000_04000000_10100552_02840572; defparam bootram.RAM0.INIT_1E=256'h00000000_00000000_00000000_00000000_00000000_00000000_00000000_00000000; defparam bootram.RAM0.INIT_1F=256'h00000000_00000000_00000000_00000000_00000000_020d0400_05715351_717105ff; -defparam bootram.RAM0.INIT_20=256'h10101010_10101010_10101010_10101010_10101010_10101010_d0a53f04_82813f80; +defparam bootram.RAM0.INIT_20=256'h10101010_10101010_10101010_10101010_10101010_10101010_d0ab3f04_82813f80; defparam bootram.RAM0.INIT_21=256'hfc060c51_102b0772_83051010_06098105_ff067383_51047381_10101053_10101010; defparam bootram.RAM0.INIT_22=256'h51535104_72ed3851_0a100a53_71105272_09720605_8106ff05_72728072_51043c04; -defparam bootram.RAM0.INIT_23=256'h800b80e4_ec0c82a0_0b0b80e4_8380800b_822ebd38_80e49408_802ea438_80e49008; -defparam bootram.RAM0.INIT_24=256'h0b80e4f0_80808280_e4ec0cf8_0b0b0b80_808080a4_f40c04f8_800b80e4_f00c8290; -defparam bootram.RAM0.INIT_25=256'h940b80e4_80c0a880_80e4ec0c_8c0b0b0b_80c0a880_e4f40c04_84800b80_0cf88080; -defparam bootram.RAM0.INIT_26=256'h70085252_80e49c08_5170a738_80e4f833_04ff3d0d_80e4f40c_80d8d80b_f00c0b0b; -defparam bootram.RAM0.INIT_27=256'hf834833d_810b80e4_5270ee38_08700852_2d80e49c_e49c0c70_38841280_70802e94; -defparam bootram.RAM0.INIT_28=256'h38823d0d_09810685_800b802e_0b0b0b0b_802e8e38_80e4e808_3d0d0b0b_0d040480; -defparam bootram.RAM0.INIT_29=256'h3d225a79_80c13895_0d685b7a_0404ee3d_3f823d0d_0b0bf5d4_e4e8510b_040b0b80; +defparam bootram.RAM0.INIT_23=256'h800b80e4_f40c82a0_0b0b80e4_8380800b_822ebd38_80e49c08_802ea438_80e49808; +defparam bootram.RAM0.INIT_24=256'h0b80e4f8_80808280_e4f40cf8_0b0b0b80_808080a4_fc0c04f8_800b80e4_f80c8290; +defparam bootram.RAM0.INIT_25=256'h940b80e4_80c0a880_80e4f40c_8c0b0b0b_80c0a880_e4fc0c04_84800b80_0cf88080; +defparam bootram.RAM0.INIT_26=256'h70085252_80e4a408_5170a738_80e58033_04ff3d0d_80e4fc0c_80d8e00b_f80c0b0b; +defparam bootram.RAM0.INIT_27=256'h8034833d_810b80e5_5270ee38_08700852_2d80e4a4_e4a40c70_38841280_70802e94; +defparam bootram.RAM0.INIT_28=256'h38823d0d_09810685_800b802e_0b0b0b0b_802e8e38_80e4f008_3d0d0b0b_0d040480; +defparam bootram.RAM0.INIT_29=256'h3d225a79_80c13895_0d685b7a_0404ee3d_3f823d0d_0b0bf5d4_e4f0510b_040b0b80; defparam bootram.RAM0.INIT_2A=256'h8d3881d2_8380862e_81dc3979_842e8e38_38798380_8085248b_ae387983_8380852e; defparam bootram.RAM0.INIT_2B=256'h0b983d22_81b83980_81e4d00c_81c0397a_81e2cc0c_c939810b_e18c0c81_39810b81; defparam bootram.RAM0.INIT_2C=256'h80862e8b_99397983_2e9f3881_79838084_85248b38_38798380_80852ea7_5b5b7983; defparam bootram.RAM0.INIT_2D=256'h055241a9_53963d84_5b923d70_5b833983_5b873981_81883982_872e8c38_38798380; -defparam bootram.RAM0.INIT_2E=256'h5b79337b_1d7f1d5b_415e5c7b_883d993d_5f40800b_84057c5b_3f800802_8f3f8a8b; +defparam bootram.RAM0.INIT_2E=256'h5b79337b_1d7f1d5b_415e5c7b_883d993d_5f40800b_84057c5b_3f800802_953f8a8b; defparam bootram.RAM0.INIT_2F=256'h1c5c887c_337b3481_055b5b79_1d963d7d_1f5e5c7b_38800b90_887c26ef_34811c5c; defparam bootram.RAM0.INIT_30=256'h5c7b1e61_26ef3880_1c5c867c_337b3481_1d5b5b79_5c7b1d60_0b881f5e_26ed3880; -defparam bootram.RAM0.INIT_31=256'h1208595a_0d686a84_0d04ee3d_853f943d_26ef389a_1c5c867c_337b3481_1d5b5b79; -defparam bootram.RAM0.INIT_32=256'h833f80e1_d8dc5195_538b5280_2e8c3875_94387580_56758b2e_9c387708_58837927; -defparam bootram.RAM0.INIT_33=256'h9f175675_18085dff_5ba05c88_3fa0578b_ac5194f0_a45280d9_8e387853_5778a326; -defparam bootram.RAM0.INIT_34=256'h39951833_085e81eb_993f8008_80c15c89_56750804_80db9c05_38758429_92268281; +defparam bootram.RAM0.INIT_31=256'h1208595a_0d686a84_0d04ee3d_8b3f943d_26ef389a_1c5c867c_337b3481_1d5b5b79; +defparam bootram.RAM0.INIT_32=256'h833f80e1_d8e45195_538c5280_2e8c3875_94387580_56758c2e_9c387708_58837927; +defparam bootram.RAM0.INIT_33=256'h9f175675_18085dff_5ba05c88_3fa0578c_b45194f0_a45280d9_8e387853_5778a326; +defparam bootram.RAM0.INIT_34=256'h39951833_085e81eb_993f8008_80c15c89_56750804_80dba405_38758429_92268281; defparam bootram.RAM0.INIT_35=256'h19335757_52800b97_538c1808_54901808_55961833_38845776_80f22e83_56825775; defparam bootram.RAM0.INIT_36=256'hea05538c_7054953d_398d1833_d35c81b3_80085f80_5196ab3f_38815776_75772e83; defparam bootram.RAM0.INIT_37=256'h80c85c75_568de63f_8c193352_548e1953_8d183370_c95c9439_8cd93f80_19335256; -defparam bootram.RAM0.INIT_38=256'h8c190858_80dbe805_38758429_852680c2_ff055675_39941833_053480ff_028405b5; +defparam bootram.RAM0.INIT_38=256'h8c190858_80dbf005_38758429_852680c2_ff055675_39941833_053480ff_028405b5; defparam bootram.RAM0.INIT_39=256'h76842980_77239b39_39921822_08770ca2_a9399018_3976225f_76085fae_56750804; -defparam bootram.RAM0.INIT_3A=256'h5e80cc5c_5cad3978_0c5680d2_90190871_80e4fc05_39768429_0840568e_e4fc0570; +defparam bootram.RAM0.INIT_3A=256'h5e80cc5c_5cad3978_0c5680d2_90190871_80e58405_39768429_0840568e_e5840570; defparam bootram.RAM0.INIT_3B=256'h18588878_33773481_05575775_19963d79_3d5a5877_54800b83_943ddc05_8c180855; defparam bootram.RAM0.INIT_3C=256'h75337734_79055757_7719963d_833d5a58_0554800b_55943ddc_39a05ca4_26ed38a4; -defparam bootram.RAM0.INIT_3D=256'h525392a0_5380d9f8_3d0d7470_3d0d04fe_9ba13f94_83808051_7826ed38_81185888; -defparam bootram.RAM0.INIT_3E=256'hcd3f7251_52725187_3f8d39a0_d23f9bb4_3f81518f_a05187de_9238a052_3f72802e; -defparam bootram.RAM0.INIT_3F=256'h3f8b5280_b45191e4_895280da_5188c13f_3f80da98_3d0d8297_3d0d04fa_8fc13f84; -defparam bootram.RAM1.INIT_00=256'h963f87db_80085190_3f85b83f_a43f868b_a9bd3f85_80e5980c_db3f820b_dad45191; -defparam bootram.RAM1.INIT_01=256'hcc3f85ee_80085194_9a3f7352_80085485_3f85ff3f_b03f87cf_80085190_3f868b3f; -defparam bootram.RAM1.INIT_02=256'h80845195_8ab25283_5195923f_52838080_d63f8cb6_8ea13f94_52800851_3f838085; -defparam bootram.RAM1.INIT_03=256'h5194ea3f_52838087_f43f8ab2_80855194_8ab25283_5194fe3f_52838086_883f8ab2; -defparam bootram.RAM1.INIT_04=256'h3fabf53f_b351a9e3_8e8d3f8f_903f8051_809251a5_94df3f83_83808251_80c08352; +defparam bootram.RAM0.INIT_3D=256'h525392a0_5380da80_3d0d7470_3d0d04fe_9ba73f94_83808051_7826ed38_81185888; +defparam bootram.RAM0.INIT_3E=256'hcd3f7251_52725187_3f8d39a0_d23f9bba_3f81518f_a05187de_9238a052_3f72802e; +defparam bootram.RAM0.INIT_3F=256'h3f8c5280_bc5191e4_8a5280da_5188c13f_3f80daa0_3d0d8297_3d0d04fa_8fc13f84; +defparam bootram.RAM1.INIT_00=256'h963f87db_80085190_3f85b83f_a43f868b_a9c33f85_80e5a00c_db3f820b_dadc5191; +defparam bootram.RAM1.INIT_01=256'hd23f85ee_80085194_9a3f7352_80085485_3f85ff3f_b03f87cf_80085190_3f868b3f; +defparam bootram.RAM1.INIT_02=256'h80845195_8ab25283_5195983f_52838080_dc3f8cb6_8ea13f94_52800851_3f838085; +defparam bootram.RAM1.INIT_03=256'h5194f03f_52838087_fa3f8ab2_80855194_8ab25283_5195843f_52838086_8e3f8ab2; +defparam bootram.RAM1.INIT_04=256'h3fabfb3f_b351a9e9_8e8d3f8f_963f8051_809251a5_94e53f83_83808251_80c08952; defparam bootram.RAM1.INIT_05=256'hfdee2e09_55557382_088e0522_c9387680_08802e80_80085680_518e843f_883dfc05; -defparam bootram.RAM1.INIT_06=256'h833f9416_db805190_089a3880_c4c53f80_90055180_f8528008_845380da_8106ad38; -defparam bootram.RAM1.INIT_07=256'h3f8bfb3f_893fa4e3_9a973f8d_74527551_8e3f8839_3f735185_f43f8693_7052548e; +defparam bootram.RAM1.INIT_06=256'h833f9416_db885190_089a3880_c4cb3f80_90055180_80528008_845380db_8106ad38; +defparam bootram.RAM1.INIT_07=256'h3f8bfb3f_893fa4e9_9a9d3f8d_74527551_8e3f8839_3f735185_f43f8693_7052548e; defparam bootram.RAM1.INIT_08=256'h85a93f9f_9f528051_3f88803f_843f8bb0_82b73f87_3f91cb3f_3d0d85dc_ff9e39fe; defparam bootram.RAM1.INIT_09=256'h5184eb3f_3f885288_ac518ae2_84f83f82_84528451_518aef3f_853f82ac_52805185; defparam bootram.RAM1.INIT_0A=256'h80e4518a_5184cf3f_539f5280_8ac83f82_3f82ac51_905184de_d53f9052_82ac518a; @@ -78,32 +78,32 @@ defparam bootram.RAM1.INIT_0C=256'h2a810680_8c08708b_3d0d8280_3d0d0480_0b800c84_ defparam bootram.RAM1.INIT_0D=256'h58595775_055a5757_70802582_05337030_028c05a7_0d7a7d7f_0d04f93d_0c51823d; defparam bootram.RAM1.INIT_0E=256'h53805481_709f2a51_8a557330_55738338_2e883888_05557583_72802588_822e9338; defparam bootram.RAM1.INIT_0F=256'h54805486_2b075154_05707284_777131fe_812cff05_2e973876_72547280_77259e38; -defparam bootram.RAM1.INIT_10=256'hae893f81_52811851_ae913f73_06527751_3f7281ff_7b51ae9b_80547452_39735381; -defparam bootram.RAM1.INIT_11=256'h7551fee6_bd537852_5580ca54_05335681_3d0d029f_3d0d04fb_ae813f89_5280da51; -defparam bootram.RAM1.INIT_12=256'hff065372_3f800881_d63ffebb_81528151_51add83f_815280c5_04fe3d0d_3f873d0d; +defparam bootram.RAM1.INIT_10=256'hae8f3f81_52811851_ae973f73_06527751_3f7281ff_7b51aea1_80547452_39735381; +defparam bootram.RAM1.INIT_11=256'h7551fee6_bd537852_5580ca54_05335681_3d0d029f_3d0d04fb_ae873f89_5280da51; +defparam bootram.RAM1.INIT_12=256'hff065372_3f800881_d63ffebb_81528151_51adde3f_815280c5_04fe3d0d_3f873d0d; defparam bootram.RAM1.INIT_13=256'h70565480_7a575781_fa3d0d78_843d0d04_e0800c53_70900781_81e08008_802ef338; defparam bootram.RAM1.INIT_14=256'h80558113_ff2e8338_33527181_38805471_70802e83_70335252_9e387217_53727627; -defparam bootram.RAM1.INIT_15=256'ha0348653_810b80e5_04fe3d0d_0c883d0d_81517080_802e8338_74075170_53df3974; -defparam bootram.RAM1.INIT_16=256'h80e5a034_bc38810b_a0335574_3d0d80e5_3d0d04f9_bea53f84_80e4a451_80dc8452; -defparam bootram.RAM1.INIT_17=256'h7551fef4_9c388652_5574802e_0881ff06_add93f80_5280d051_70545682_8654873d; -defparam bootram.RAM1.INIT_18=256'h3d0d0481_0b800c89_3f80e4a4_a451bddb_755280e4_8c388653_ff065574_3f800881; -defparam bootram.RAM1.INIT_19=256'he59c3484_38810b80_335574b9_0d80e59c_0c04fb3d_0880e4a0_3480dc80_0b80e59c; -defparam bootram.RAM1.INIT_1A=256'h3dfc0551_38845287_74802e99_81ff0655_fa3f8008_80d051ac_05538c52_54873dfc; -defparam bootram.RAM1.INIT_1B=256'h3d0d7756_3d0d04fb_0b800c87_0c80e4a0_7580e4a0_55748638_0881ff06_fe923f80; -defparam bootram.RAM1.INIT_1C=256'h0c810b80_0880e4a0_2e8d3875_06557480_800881ff_51abc03f_8c5280d0_84547553; -defparam bootram.RAM1.INIT_1D=256'ha40c81e0_077080e5_e5a40806_75067180_0d730973_0d04803d_800c873d_e59c3474; -defparam bootram.RAM1.INIT_1E=256'he0980c51_e5a80c81_06077080_80e5a808_73750671_3d0d7309_3d0d0480_8c0c5182; +defparam bootram.RAM1.INIT_15=256'ha8348653_810b80e5_04fe3d0d_0c883d0d_81517080_802e8338_74075170_53df3974; +defparam bootram.RAM1.INIT_16=256'h80e5a834_bc38810b_a8335574_3d0d80e5_3d0d04f9_beab3f84_80e4ac51_80dc8c52; +defparam bootram.RAM1.INIT_17=256'h7551fef4_9c388652_5574802e_0881ff06_addf3f80_5280d051_70545682_8654873d; +defparam bootram.RAM1.INIT_18=256'h3d0d0481_0b800c89_3f80e4ac_ac51bde1_755280e4_8c388653_ff065574_3f800881; +defparam bootram.RAM1.INIT_19=256'he5a43484_38810b80_335574b9_0d80e5a4_0c04fb3d_0880e4a8_3480dc88_0b80e5a4; +defparam bootram.RAM1.INIT_1A=256'h3dfc0551_38845287_74802e99_81ff0655_803f8008_80d051ad_05538c52_54873dfc; +defparam bootram.RAM1.INIT_1B=256'h3d0d7756_3d0d04fb_0b800c87_0c80e4a8_7580e4a8_55748638_0881ff06_fe923f80; +defparam bootram.RAM1.INIT_1C=256'h0c810b80_0880e4a8_2e8d3875_06557480_800881ff_51abc63f_8c5280d0_84547553; +defparam bootram.RAM1.INIT_1D=256'hac0c81e0_077080e5_e5ac0806_75067180_0d730973_0d04803d_800c873d_e5a43474; +defparam bootram.RAM1.INIT_1E=256'he0980c51_e5b00c81_06077080_80e5b008_73750671_3d0d7309_3d0d0480_8c0c5182; defparam bootram.RAM1.INIT_1F=256'h3d0d8a52_3d0d04ff_72800c84_5181c73f_70535380_fe3d0d74_81af3f04_823d0d04; defparam bootram.RAM1.INIT_20=256'h38811574_72802e90_ff065454_74337081_77795656_04fb3d0d_3f833d0d_805181b6; defparam bootram.RAM1.INIT_21=256'h3f833d0d_528051cd_ff3d0d73_873d0d04_800b800c_913fe539_76525581_81ff0653; defparam bootram.RAM1.INIT_22=256'hff3d0d73_843d0d04_800b800c_5180e73f_3f8a5272_5253ffbd_74765370_04fe3d0d; -defparam bootram.RAM1.INIT_23=256'h3d0d73a0_3d0d04ff_ac123482_053380e4_7251028f_04803d0d_3f833d0d_528051dd; -defparam bootram.RAM1.INIT_24=256'hac133352_805380e4_04fe3d0d_51833d0d_22720c53_dc8c0570_05751080_29829080; -defparam bootram.RAM1.INIT_25=256'h0d767856_0d04fc3d_e538843d_53827325_ce3f8113_33527251_80e4b013_7251c63f; -defparam bootram.RAM1.INIT_26=256'h3f73a029_527351de_0687388d_812e0981_14335372_3880e4ac_09810695_54748a2e; +defparam bootram.RAM1.INIT_23=256'h3d0d73a0_3d0d04ff_b4123482_053380e4_7251028f_04803d0d_3f833d0d_528051dd; +defparam bootram.RAM1.INIT_24=256'hb4133352_805380e4_04fe3d0d_51833d0d_22720c53_dc940570_05751080_29829080; +defparam bootram.RAM1.INIT_25=256'h0d767856_0d04fc3d_e538843d_53827325_ce3f8113_33527251_80e4b813_7251c63f; +defparam bootram.RAM1.INIT_26=256'h3f73a029_527351de_0687388d_812e0981_14335372_3880e4b4_09810695_54748a2e; defparam bootram.RAM1.INIT_27=256'h82908005_0d74a029_0d04fe3d_150c863d_f838748c_5372802e_54841408_82908005; defparam bootram.RAM1.INIT_28=256'h81a8880c_3d0d800b_3d0d04ff_72800c84_90120853_802e8538_52ff5370_88110852; -defparam bootram.RAM1.INIT_29=256'h3d0d04fd_a8880c83_81800b81_a8840c51_70882a81_81a8800c_7081ff06_80e4b822; +defparam bootram.RAM1.INIT_29=256'h3d0d04fd_a8880c83_81800b81_a8840c51_70882a81_81a8800c_7081ff06_80e4c022; defparam bootram.RAM1.INIT_2A=256'h70810651_0870862a_3881a890_802e8186_54815171_05335553_02880597_3d0d7678; defparam bootram.RAM1.INIT_2B=256'h81065151_70812a70_81a89008_81a8900c_0c81900b_0781a88c_38721081_515170f1; defparam bootram.RAM1.INIT_2C=256'h2eb13880_ba387180_5170802e_32515151_81067081_70872a70_81a89008_5170f138; @@ -117,22 +117,22 @@ defparam bootram.RAM1.INIT_33=256'h388114ff_70802e8e_51515151_06708132_872a7081_ defparam bootram.RAM1.INIT_34=256'h0d755480_0d04fd3d_800c853d_0c805170_0b81a890_8a3980c0_b7398151_135354ff; defparam bootram.RAM1.INIT_35=256'h1353e239_27f13881_868d9f71_73315151_b8ac0870_ac085281_9b3881b8_53727425; defparam bootram.RAM1.INIT_36=256'h82808c0c_840cff0b_ef0b8280_8280800c_0c81e20b_0b828088_ff3d0dff_853d0d04; -defparam bootram.RAM1.INIT_37=256'h0d828088_0d04fb3d_f138833d_51708025_540cff11_72708405_87519eea_80efd052; -defparam bootram.RAM1.INIT_38=256'h8f387251_5271802e_55747606_80efd055_8053810b_06585152_808c0871_08700982; +defparam bootram.RAM1.INIT_37=256'h0d828088_0d04fb3d_f138833d_51708025_540cff11_72708405_87519eea_80efd852; +defparam bootram.RAM1.INIT_38=256'h8f387251_5271802e_55747606_80efd855_8053810b_06585152_808c0871_08700982; defparam bootram.RAM1.INIT_39=256'h0d04ff3d_dc38873d_53877325_76105755_81138415_8c0c8f39_2d748280_73085271; -defparam bootram.RAM1.INIT_3A=256'h70720682_82808808_722b7009_710c5181_efd00575_71842980_87269f38_0d735271; +defparam bootram.RAM1.INIT_3A=256'h70720682_82808808_722b7009_710c5181_efd80575_71842980_87269f38_0d735271; defparam bootram.RAM1.INIT_3B=256'hc80c833d_0c5281e0_0881e0c4_05227470_3d0d0292_0d0404ff_5152833d_80880c53; defparam bootram.RAM1.INIT_3C=256'he0cc0c82_38820b81_70802ef3_84065151_b8a00870_e0cc0c81_0d810b81_0d04803d; defparam bootram.RAM1.INIT_3D=256'h81b8a008_802e9338_06545272_a0087081_3d0d81b8_c00c04fe_3f7181e0_3d0d04de; -defparam bootram.RAM1.INIT_3E=256'hdc9851f8_2e8b3880_51527180_2a708106_9a397181_81808052_710c5353_7571902a; +defparam bootram.RAM1.INIT_3E=256'hdca051f8_2e8b3880_51527180_2a708106_9a397181_81808052_710c5353_7571902a; defparam bootram.RAM1.INIT_3F=256'h802ef238_06515170_087080c0_0d81b8a0_0d04803d_800c843d_3f725271_d33fff9e; defparam bootram.RAM2.INIT_00=256'hb8a00870_cc0c5281_880781e0_2270902b_0d028e05_0d04ff3d_800c823d_8180800b; defparam bootram.RAM2.INIT_01=256'h2e8638ba_80537280_3d0d7554_3d0d04fd_e0cc0c83_38840b81_70802ef3_90065151; -defparam bootram.RAM2.INIT_02=256'h77831133_04fb3d0d_38853d0d_857327e6_3f811353_5252a5ba_72147033_51f7a53f; -defparam bootram.RAM2.INIT_03=256'h7e616302_f63d0d7c_873d0d04_5180ed3f_5680dc9c_54703353_55811133_56821133; +defparam bootram.RAM2.INIT_02=256'h77831133_04fb3d0d_38853d0d_857327e6_3f811353_5252a5c0_72147033_51f7a53f; +defparam bootram.RAM2.INIT_03=256'h7e616302_f63d0d7c_873d0d04_5180ed3f_5680dca4_54703353_55811133_56821133; defparam bootram.RAM2.INIT_04=256'h52ad5178_2e8a3879_8f387580_57768025_5f5d5b59_9f2a515b_33703070_9005bb05; -defparam bootram.RAM2.INIT_05=256'h77527651_51ffbd3f_803f8008_527651ad_54805377_38795578_77772694_2d763057; -defparam bootram.RAM2.INIT_06=256'h823d0d04_51f68d3f_028b0533_04803d0d_2d8c3d0d_05335178_0880dca8_ad983f80; +defparam bootram.RAM2.INIT_05=256'h77527651_51ffbd3f_863f8008_527651ad_54805377_38795578_77772694_2d763057; +defparam bootram.RAM2.INIT_06=256'h823d0d04_51f68d3f_028b0533_04803d0d_2d8c3d0d_05335178_0880dcb0_ad9e3f80; defparam bootram.RAM2.INIT_07=256'hd1387681_75802e81_ff065757_78337081_d15c5a58_055208a1_3d707084_f73d0d8c; defparam bootram.RAM2.INIT_08=256'h24a03875_387580f0_f02e80fb_57597580_81197033_0680db38_a52e0981_ff065675; defparam bootram.RAM2.INIT_09=256'h8b397580_80c63881_7580e42e_38819539_802e819e_248a3875_387580e3_80e32eb9; @@ -143,265 +143,265 @@ defparam bootram.RAM2.INIT_0D=256'h52767081_2e8e3880_33567580_59595676_84197108_ defparam bootram.RAM2.INIT_0E=256'h04803d0d_81e0d00c_0d048a0b_800c8b3d_a339800b_811959fe_792dec39_05583351; defparam bootram.RAM2.INIT_0F=256'h88059b05_0d797b02_0d04fc3d_ef38823d_51515170_32708106_708c2a81_81b8b408; defparam bootram.RAM2.INIT_10=256'h81065151_72822a70_810a0752_2e863871_54557080_06555556_7b077281_3372982b; -defparam bootram.RAM2.INIT_11=256'h5173802e_81e0d80c_3179712b_d40ca075_3f7181e0_0752ffb1_3871820a_70802e86; -defparam bootram.RAM2.INIT_12=256'h278f3872_80537274_7a545555_3d0d7678_3d0d04fc_08800c86_3f81b880_8438ff99; -defparam bootram.RAM2.INIT_13=256'h902a0552_ffff0672_8d387183_5170802e_3971902a_555351ee_73058115_10157022; -defparam bootram.RAM2.INIT_14=256'hb80c5485_700880e5_aed93f76_80e5b051_86537552_04fd3d0d_0c863d0d_ec397180; -defparam bootram.RAM2.INIT_15=256'h0d04fd3d_f338833d_52708025_12ff1252_80720c88_c0528951_3d0d80e5_3d0d04ff; -defparam bootram.RAM2.INIT_16=256'h7225ee38_12525289_38811288_72742e8e_52702254_80e5bc52_2253800b_0d029605; -defparam bootram.RAM2.INIT_17=256'h89387680_8008802e_5856c73f_ffff0653_787a7183_04fa3d0d_0c853d0d_80517080; -defparam bootram.RAM2.INIT_18=256'h38811588_71802e8f_88155552_55557308_80e5bc55_80e5c00b_ad398008_0884050c; -defparam bootram.RAM2.INIT_19=256'h933d5392_0d867054_0d04f13d_140c883d_73237684_9bee3f75_7525eb38_14545589; -defparam bootram.RAM2.INIT_1A=256'ha2052381_80028405_ad993f90_3ddc0551_88055291_7353923d_54ada83f_3dd60552; -defparam bootram.RAM2.INIT_1B=256'hc0910b8d_aa052380_80028405_3d238180_23800b8c_8405a605_3d238002_8a800b8b; -defparam bootram.RAM2.INIT_1C=256'h8405ae05_3f800802_0551fdb7_52913de4_5e80538a_23685d66_8405ae05_3d238002; -defparam bootram.RAM2.INIT_1D=256'hac53913d_05be0523_23800284_800b913d_05ba0523_3d220284_903d2396_23983d22; -defparam bootram.RAM2.INIT_1E=256'h2386539b_800b973d_3d0d805b_3d0d04e8_9df13f91_e6840551_80c02981_d4055269; -defparam bootram.RAM2.INIT_1F=256'h22028405_0280f205_51abf83f_9a3df805_80e5b052_863f8653_f20551ac_3d529a3d; -defparam bootram.RAM2.INIT_20=256'h45a33d08_44a13d08_f005436e_3dc41143_5a800b9b_08800858_f7e83f80_80e20523; -defparam bootram.RAM2.INIT_21=256'h1a787c31_58750870_8c3d5684_05fc0640_a33d0883_a13d085f_905d6e5e_4659845c; -defparam bootram.RAM2.INIT_22=256'h738c3894_73830654_802e9a38_75085473_3873760c_73752784_51565a55_90807131; -defparam bootram.RAM2.INIT_23=256'h3f757084_76519cc3_94160852_3f750853_c451efec_883880dc_5473802e_16088306; -defparam bootram.RAM2.INIT_24=256'h3f9a3d0d_2a51f6ee_c0597882_26843880_ac3878bf_778025ff_ff195957_05570817; -defparam bootram.RAM2.INIT_25=256'h800b953d_80ca0523_79028405_1f94055a_943d237f_818a800b_6b6e4040_04ea3d0d; -defparam bootram.RAM2.INIT_26=256'h2380e5b8_0580d205_23800284_5a79963d_80c08007_ce052369_02840580_23818080; -defparam bootram.RAM2.INIT_27=256'h913d7053_80d20523_79028405_8008095a_5cfae03f_933d7052_80538a52_08466847; -defparam bootram.RAM2.INIT_28=256'hbc3feddb_3f7a51f6_f051f7c8_923880dc_ff065a79_3f800881_5c5e8ac8_983d7053; -defparam bootram.RAM2.INIT_29=256'h79337b34_7c1f5b5b_805c7b1d_54908053_5d94557b_60586b57_7f5a6d59_3fa93902; -defparam bootram.RAM2.INIT_2A=256'h3d220284_8a3d238d_02ae0522_3d0d7f58_3d0d04f7_fd893f98_7c26ef38_811c5c86; -defparam bootram.RAM2.INIT_2B=256'h5391527d_8b3df805_7e558854_05237756_028405a6_8b3d2380_88185776_05a20523; -defparam bootram.RAM2.INIT_2C=256'h34840284_860b8f3d_05b20523_90800284_0b8e3d23_ee3d0d81_8b3d0d04_51fe9e3f; -defparam bootram.RAM2.INIT_2D=256'hf13f8453_a8b53fea_3dec0551_80085294_a23f8653_b60523ea_81028405_05b50534; -defparam bootram.RAM2.INIT_2E=256'h0843025c_d53f8008_a9b23fea_3df60551_53805294_a8a53f86_3df20551_80085294; -defparam bootram.RAM2.INIT_2F=256'h7a34811b_dcbc1b33_7a1c5a80_8653805b_e4055490_9c55943d_80578056_80598058; -defparam bootram.RAM2.INIT_30=256'h09810682_7d90862e_11225f5d_aa3d088e_04d93d0d_3f943d0d_ef38fbcb_5b867b26; -defparam bootram.RAM2.INIT_31=256'hee397a22_f5a63f86_80dda051_8d387952_5b799b26_29f2055b_ac3d0884_9d38901d; -defparam bootram.RAM2.INIT_32=256'h798c842e_841b225a_0686d438_802e0981_225a7990_e238821b_09810686_5a79812e; -defparam bootram.RAM2.INIT_33=256'h1d70525f_88853fa8_1d705240_86b9389e_2e098106_225a7981_c638861b_09810686; -defparam bootram.RAM2.INIT_34=256'h38a73d5a_8008868f_3f80085c_0551a5e7_a93dffa8_80e5b852_08438453_87fd3f80; -defparam bootram.RAM2.INIT_35=256'h33a23d34_0523841b_840580fe_821b2202_22a13d23_a6c53f7a_b0527951_865380e5; -defparam bootram.RAM2.INIT_36=256'h923f8470_e40551a6_7952a93d_05238653_84058182_05348202_84058181_851b3302; -defparam bootram.RAM2.INIT_37=256'h537f52a9_a5f53f79_1d527a51_5b865398_02818e05_5aa6843f_3dea0552_547f53aa; -defparam bootram.RAM2.INIT_38=256'h5d9c55a9_7c587c57_7c5a7c59_a5dd3f02_7a527e51_3d5f8653_a5e93f9e_3df40551; -defparam bootram.RAM2.INIT_39=256'hee397d90_f9993f84_7c26ef38_811c5c86_79337b34_7f1d5b5b_7d537b1d_3ddc0554; -defparam bootram.RAM2.INIT_3A=256'h882a708f_84d13879_2e098106_5b5b6084_708c2a43_901d7022_0684e438_802e0981; -defparam bootram.RAM2.INIT_3B=256'h80dcbc52_7e5e8653_7e84b438_ffff065f_861b2280_0684c038_852e0981_06515a79; -defparam bootram.RAM2.INIT_3C=256'h80088338_5ca3e03f_5470535b_5580e5b8_7e901c62_8338815e_f63f8008_821d51a3; -defparam bootram.RAM2.INIT_3D=256'h405d407f_1c22ec11_891b3382_5184b83f_1d529c1d_84813888_387b802e_815c7d87; -defparam bootram.RAM2.INIT_3E=256'h7d7a2e8f_5d5d4240_1f841122_087a08a4_de388c1b_09810683_387f912e_812e81bb; -defparam bootram.RAM2.INIT_3F=256'h80084280_5df5c33f_1d22535d_1de41d82_83bd39ac_51f1f53f_5280ddc0_3879537d; -defparam bootram.RAM3.INIT_00=256'h9c3d4088_51a3d43f_537d5279_3d5f5a88_499a3d99_993d237f_a6387a22_08802e83; -defparam bootram.RAM3.INIT_01=256'h3f885379_7951a3b3_ffb40552_8853a93d_3d236047_821b2297_51a3c83f_5379527f; -defparam bootram.RAM3.INIT_02=256'h887c26ef_34811c5c_5b79337b_1d7c1f5b_3d5e5c7b_7c557e84_aa3f7b56_527d51a3; -defparam bootram.RAM3.INIT_03=256'h82ad398c_085a792d_38618405_887b26ef_34811b5b_0284051c_1b5a7933_38805b7f; -defparam bootram.RAM3.INIT_04=256'h832e0981_1a335a79_82953981_2e81bb38_8a387d88_427d832e_7033405b_1b08a41e; -defparam bootram.RAM3.INIT_05=256'h813f8008_7c2251f4_0681f438_912e0981_5c5e5c79_1e891233_ac1d80c0_0681a238; -defparam bootram.RAM3.INIT_06=256'h527d51a2_5e88537a_3d9b3d5c_23794b98_7c229b3d_8c1c085a_2e80fe38_41800880; -defparam bootram.RAM3.INIT_07=256'ha93dcc05_794d8853_229d3d23_085a821d_823f901c_527f51a2_4088537d_8e3f963d; -defparam bootram.RAM3.INIT_08=256'h5b5b7933_7b1d7c1f_843d5e5c_567e557e_a1e13f7e_7a527d51_ea3f8853_527a51a1; -defparam bootram.RAM3.INIT_09=256'hef386084_5b887b26_1c34811b_33028405_7f1b5a79_ef38805b_5c887c26_7b34811c; -defparam bootram.RAM3.INIT_0A=256'h80cd0534_7e028405_7e953d34_e41d5d5d_de39ac1d_e59e3f80_2d80e951_05085a79; -defparam bootram.RAM3.INIT_0B=256'h943d7052_7e536052_80d20523_22028405_3d23861a_841a2296_80ce0523_7e028405; -defparam bootram.RAM3.INIT_0C=256'h7b567c55_80ce0523_79028405_8008095a_51f1c03f_812a527c_8008537b_5bf1cc3f; -defparam bootram.RAM3.INIT_0D=256'h27a43876_55537274_80e68c08_3d0d800b_3d0d04fc_f5f73fa9_7f526151_7d547a53; -defparam bootram.RAM3.INIT_0E=256'h53737326_8b398113_85387251_2e098106_53517075_71088c13_94545651_700880e6; -defparam bootram.RAM3.INIT_0F=256'h3880e68c_088025ba_ffb93f80_71535755_3d0d7779_3d0d04fb_70800c86_e738ff51; -defparam bootram.RAM3.INIT_10=256'h73101470_e6900c54_11870680_e6900881_0c8e3980_1480e68c_26893881_08547387; -defparam bootram.RAM3.INIT_11=256'h54865375_10800805_94398008_e6981451_53755280_0c515486_80e69412_822b7608; -defparam bootram.RAM3.INIT_12=256'h08249938_80547380_51fed83f_fd3d0d75_873d0d04_519fa43f_80e69805_52738429; -defparam bootram.RAM3.INIT_13=256'h3d0d04fd_73800c85_fa3f8154_5276519e_80e69805_53738429_08055486_80081080; -defparam bootram.RAM3.INIT_14=256'h800c5253_16337107_2b720783_14337088_902b0782_71982b71_33811233_3d0d7570; -defparam bootram.RAM3.INIT_15=256'h8b3d2270_83ffff06_76a83873_22565957_7f80e6f4_f93d0d7d_853d0d04_54565452; -defparam bootram.RAM3.INIT_16=256'hc0397383_76742380_f8055154_902980e6_90291470_80d33873_54738326_72315256; -defparam bootram.RAM3.INIT_17=256'h902980e6_8a3d5273_15548853_38749029_748326ad_31575754_3d227072_ffff068d; -defparam bootram.RAM3.INIT_18=256'h39893d0d_811656ec_51e3a33f_33535474_38751770_75782791_ea3f8056_f805519d; -defparam bootram.RAM3.INIT_19=256'h800b828c_8288140c_7323800b_f8545480_800b80e6_80e6f423_029a0522_04fc3d0d; -defparam bootram.RAM3.INIT_1A=256'h3d0d04f4_27d93886_54548374_14829014_ef9b3f81_22740551_5280e6f4_140cb5a8; -defparam bootram.RAM3.INIT_1B=256'h5b5d7981_82881a08_81be3875_06515675_81327081_5c847c2c_80e6f85a_3d0d800b; -defparam bootram.RAM3.INIT_1C=256'h8a327030_81ff0670_c5388008_08ff2e80_e2e83f80_055b7b51_38781a88_ff2680d6; -defparam bootram.RAM3.INIT_1D=256'h81055d34_5d777b70_2e833881_58587680_53515951_71802507_30728025_728d3270; -defparam bootram.RAM3.INIT_1E=256'h1908802e_b1388288_ff7a27ff_811a5a81_828c1a0c_1a0c800b_81058288_82881908; -defparam bootram.RAM3.INIT_1F=256'hab388288_5675802e_bf387822_568b7627_828c1b0c_19088111_9138828c_80d2387c; -defparam bootram.RAM3.INIT_20=256'hef3880e6_58887826_77348118_57577533_771a781a_833d5b58_1954800b_19085588; -defparam bootram.RAM3.INIT_21=256'h7c27fea9_1a5a5c83_811c8290_828c1a0c_1a0c800b_800b8288_51f2a83f_f4227c05; -defparam bootram.RAM3.INIT_22=256'h55741770_059d0557_3f800284_685194ba_5780c052_883d7054_04ea3d0d_388e3d0d; -defparam bootram.RAM3.INIT_23=256'h09810685_7381992e_70335154_94387416_2e098106_387381aa_81ff2e9d_33515473; -defparam bootram.RAM3.INIT_24=256'h54548452_0d863d70_0d04f93d_800c983d_38805473_be7527d1_39811555_3881548b; -defparam bootram.RAM3.INIT_25=256'h5574800c_06833881_752e0981_ca3f8008_52735199_5380dde4_3f805584_795193ea; -defparam bootram.RAM3.INIT_26=256'h89c13f81_06558051_800881ff_3f8ac23f_3d0d8dd7_940c04fc_810b81e0_893d0d04; -defparam bootram.RAM3.INIT_27=256'h51dedd3f_3880dea0_833974b5_dde85181_73883880_06515154_8d2a7081_b8b40870; -defparam bootram.RAM3.INIT_28=256'he2fc3fb0_3f82ac51_81518987_51dec93f_3880decc_08802e9a_febf3f80_b0800a51; -defparam bootram.RAM3.INIT_29=256'hd051de9c_bb3880df_8008802e_51fee33f_3998800a_845180cc_b53f80df_800a5184; -defparam bootram.RAM3.INIT_2A=256'h3ffee53f_ac51e2be_de863f82_80dffc51_5192bf3f_5298800a_5380ffff_3f838080; -defparam bootram.RAM3.INIT_2B=256'h3d0d0471_dde23f86_80e0dc51_e93f8839_3f805183_ac51e2ae_ddf63f82_80e0a051; -defparam bootram.RAM3.INIT_2C=256'hb8085372_913f80ef_52a051dc_e6ce3fa0_e1a85254_75705380_04fd3d0d_80efb80c; -defparam bootram.RAM3.INIT_2D=256'h802e8538_b8085372_f53f80ef_528051db_fe3d0da0_853d0d04_7351722d_802e8538; -defparam bootram.RAM3.INIT_2E=256'h81557180_06515354_862a7081_ff0b8008_51898d3f_fc3d0d9a_843d0d04_8051722d; -defparam bootram.RAM3.INIT_2F=256'h8a547180_80248a38_9b387182_7182802e_5580e454_86800653_820b8008_2e80ec38; -defparam bootram.RAM3.INIT_30=256'h3f71882a_855188c0_3f800852_845188c8_8338ff54_7184802e_3987e854_2e8e388a; -defparam bootram.RAM3.INIT_31=256'h52dbf53f_55535154_0c80e1e0_7080efc4_e2a01133_06720780_8a2c7083_8c068008; -defparam bootram.RAM3.INIT_32=256'h74822ea6_80efbc0c_2e983874_80efbc08_dc8e3f74_11085252_0680e4c0_71822b8c; -defparam bootram.RAM3.INIT_33=256'h8e387380_efc0082e_96387380_2e098106_9e397482_38fec13f_098106a3_3874812e; -defparam bootram.RAM3.INIT_34=256'h5187a23f_b63f8008_fd3d0dd8_863d0d04_5187cd3f_fdfb3f99_a73f7351_efc00cfe; -defparam bootram.RAM3.INIT_35=256'h9c5187cd_81ae8052_5187d63f_3f8d5298_995187ac_80efc00c_bc0cff0b_800b80ef; -defparam bootram.RAM3.INIT_36=256'hb03f8451_54845187_9f067053_908007f4_8f3f8008_3f845187_8451e0f6_3fbbc852; -defparam bootram.RAM3.INIT_37=256'h84800752_e33f8008_3f805186_f851e3ec_735280e1_38800853_80082e8d_86fa3f73; -defparam bootram.RAM3.INIT_38=256'h84067281_0771832a_0671872a_70852a82_02970533_04fd3d0d_3f853d0d_80518789; -defparam bootram.RAM3.INIT_39=256'hc0067072_76852b80_7081ff06_71730707_832ba006_10900674_73070773_2a880671; -defparam bootram.RAM3.INIT_3A=256'h0d74d00a_0d04fe3d_5552853d_51555255_0c515253_0682c080_077081ff_0778872b; -defparam bootram.RAM3.INIT_3B=256'h8c3fb251_819951ff_51ff923f_983f81aa_81ff51ff_51ff9e3f_075381ff_0681d00a; -defparam bootram.RAM3.INIT_3C=256'h3fb251fe_0651feed_3f7281ff_5252fef5_7081ff06_3f72882a_e151ff81_ff873f80; -defparam bootram.RAM3.INIT_3D=256'h51feca3f_fecf3fb0_ff065253_902a7081_fedb3f72_72982a51_51fee23f_e83f8181; -defparam bootram.RAM3.INIT_3E=256'hfeab3f80_b03fa051_3f8051fe_a051feb5_51feba3f_febf3f8e_c43f8051_81a151fe; -defparam bootram.RAM3.INIT_3F=256'h22800c87_ce3f863d_80d05183_05538052_54873dfc_fb3d0d82_843d0d04_51fea63f; -defparam bootram.RAM4.INIT_00=256'h53829452_26903877_57778293_12085859_d73d0884_d53d0880_b23d0d80_3d0d04ff; -defparam bootram.RAM4.INIT_01=256'h75080480_e2fc0556_75842980_2681b238_16567596_bc39ff9f_e1ba3f81_80e2b051; -defparam bootram.RAM4.INIT_02=256'h8a398c99_e4d00c81_0c800b81_0b81e2cc_e18c0c81_5e810b81_3f800808_c15cd5ea; -defparam bootram.RAM4.INIT_03=256'h5c80e839_065e80d6_0883ffff_fef63f80_5c80f839_085f80c6_8c9d3f80_3f80085e; -defparam bootram.RAM4.INIT_04=256'h800881ff_518aba3f_3980eff0_c55c80d3_89f53f80_80eff051_8c170852_90170853; -defparam bootram.RAM4.INIT_05=256'h88dc3f80_8c170851_90170852_39941753_80c25cb7_c45cbc39_2e863880_06567580; -defparam bootram.RAM4.INIT_06=256'hd35c8051_5c8d3980_b93f80d2_1708518b_1708528c_80055390_80d03dfe_d75ca439; -defparam bootram.RAM4.INIT_07=256'h79055757_1980d23d_3d5a5877_54800b83_3dfdec05_945580d0_39a05c82_fcf83f83; -defparam bootram.RAM4.INIT_08=256'he3d851d6_803d0d80_d03d0d04_e8bd3f80_83808251_7826ec38_81185888_75337734; -defparam bootram.RAM4.INIT_09=256'h98547553_57578255_71882b07_05ab0533_05330284_3d0d02a7_83973ff9_933fff51; -defparam bootram.RAM4.INIT_0A=256'h7425b738_16565480_575874ff_7f7f5a57_3d0d7a7c_3d0d04f8_e1b03f89_81528051; -defparam bootram.RAM4.INIT_0B=256'h7781ff06_3dfc0552_3482538a_8405a105_05583302_34767081_54738a3d_75811757; -defparam bootram.RAM4.INIT_0C=256'h3d0d04fa_73800c8a_c1398154_802e8538_da8c3f73_06548a51_800881ff_51d8de3f; -defparam bootram.RAM4.INIT_0D=256'h5280d051_055381f7_54883dfc_883d3481_38dc5675_de567483_05335580_3d0d02a3; -defparam bootram.RAM4.INIT_0E=256'h05337052_055202a7_53893dfc_893d3481_02ab0533_3d0d7c57_3d0d04f9_ff893f88; -defparam bootram.RAM4.INIT_0F=256'hd6c13f80_7b527551_97387653_38807725_73802e9e_06705654_800881ff_56d7fe3f; -defparam bootram.RAM4.INIT_10=256'hfc055381_8154883d_04fa3d0d_0c893d0d_81557480_802e8338_70565473_0881ff06; -defparam bootram.RAM4.INIT_11=256'h0c883d0d_81567580_81068338_80de2e09_33565674_800b883d_51ffa03f_f75280d0; -defparam bootram.RAM4.INIT_12=256'h803d0d72_c0b00c04_89b00b81_81c0ac0c_800ca60b_eb0b81c0_c0940c80_04990b81; -defparam bootram.RAM4.INIT_13=256'h06515151_812a7081_c0a40870_c0a00c81_51820b81_81c0980c_06708107_882bbe80; -defparam bootram.RAM4.INIT_14=256'h0c517381_0781c098_80067081_72882bbe_04803d0d_0c823d0d_c0a80880_70f13881; -defparam bootram.RAM4.INIT_15=256'h04ff39fa_38823d0d_515170f1_70810651_0870812a_0c81c0a4_0b81c0a0_c09c0c84; -defparam bootram.RAM4.INIT_16=256'h2e863881_06527180_8a387283_83065271_71913875_55555757_7c728306_3d0d787a; -defparam bootram.RAM4.INIT_17=256'h52811454_720c5254_77127008_822b7711_27943873_55557375_72822a72_5188ca3f; -defparam bootram.RAM4.INIT_18=256'h728f0680_53d1cd3f_33545153_80e3e411_2a708f06_0d747084_0d04fe3d_e939883d; -defparam bootram.RAM4.INIT_19=256'h5170f138_81065151_70882a70_82e09008_04803d0d_3f843d0d_5253d1c0_e3e41133; -defparam bootram.RAM4.INIT_1A=256'h90087088_535382e0_80c08007_8c800607_80ff067a_93053378_fe3d0d02_823d0d04; -defparam bootram.RAM4.INIT_1B=256'h71828007_82e0980c_7581ff06_82e0900c_e0800c71_f1387682_51515170_2a708106; -defparam bootram.RAM4.INIT_1C=256'he0800851_70f13882_06515151_882a7081_e0900870_2e963882_72517280_82e0900c; -defparam bootram.RAM4.INIT_1D=256'h873f863d_528051ff_54805380_88805588_82e0940c_3d0d810b_3d0d04fc_70800c84; -defparam bootram.RAM4.INIT_1E=256'h3d0d04fc_06800c86_800881ff_51fef13f_53815281_90548a80_0d888055_0d04fc3d; -defparam bootram.RAM4.INIT_1F=256'h32810680_3f800881_803d0dca_863d0d04_51fed53f_53815280_55885486_3d0d8880; -defparam bootram.RAM4.INIT_20=256'h775684e3_04fb3d0d_38823d0d_70802ef4_81ff0651_eb3f8008_04803d0d_0c823d0d; -defparam bootram.RAM4.INIT_21=256'h8051fe84_07538152_0a069b0a_5475fe9b_888055a0_3fffb43f_269b38dd_3f758008; -defparam bootram.RAM4.INIT_22=256'h81b43875_7381ff26_57578055_08ff1156_0880cb3d_0d80c93d_04ffba3d_3f873d0d; -defparam bootram.RAM4.INIT_23=256'h80cb3d08_8f3f7553_7052548c_ff52883d_82805381_2681a738_3f738008_1754849f; -defparam bootram.RAM4.INIT_24=256'hfec00a06_e0900c76_88800b82_82e0980c_fd9f3f74_3ffed43f_ea3ffefd_5273518a; -defparam bootram.RAM4.INIT_25=256'hfcef3f80_82e0900c_0c8aa00b_0b82e090_980c88a0_810b82e0_82e0800c_80c00a07; -defparam bootram.RAM4.INIT_26=256'h700882e0_54fe8815_82e0880c_84157008_8c0c54fe_700882e0_56fe8015_c83d558f; -defparam bootram.RAM4.INIT_27=256'hb03fff16_e0900cfc_8a800b82_82e0900c_5488800b_82e0800c_8c157008_840c54fe; -defparam bootram.RAM4.INIT_28=256'h0d797b7d_0d04f93d_0c80c83d_81557480_82e0980c_bc38800b_758025ff_90165656; -defparam bootram.RAM4.INIT_29=256'hff065473_c3387581_74802e80_cb388157_80082680_3f805773_565682db_7212575a; -defparam bootram.RAM4.INIT_2A=256'h16741976_fdeb3f73_73527551_76547753_75278338_75555776_82807431_802ea238; -defparam bootram.RAM4.INIT_2B=256'h76800c89_8c3f8157_54dc39fd_e1388280_82807527_8e387454_5674802e_76315759; -defparam bootram.RAM4.INIT_2C=256'h38800b88_08742790_81ed3f80_38731354_73802e8d_7a565455_3d0d7678_3d0d04fc; -defparam bootram.RAM4.INIT_2D=256'h3f800830_515281bd_06ff1656_08307074_81cb3f80_750ca639_84160c80_160c800b; -defparam bootram.RAM4.INIT_2E=256'h3f800881_7554fc98_04fd3d0d_3f863d0d_7151fcc9_7188160c_0684160c_72760c74; -defparam bootram.RAM4.INIT_2F=256'h08057088_88140880_3881823f_15082e94_88140884_9f388153_5271802e_ff067054; -defparam bootram.RAM4.INIT_30=256'h528151fa_f90a5381_55a05481_3d0d8880_3d0d04fc_72800c85_943f8053_160c51fc; -defparam bootram.RAM4.INIT_31=256'h2a7081ff_3f800888_08a038d7_0d80efc8_0d04ff3d_800c863d_fe800a06_a33f8008; -defparam bootram.RAM4.INIT_32=256'h52528271_c808ea11_c80c80ef_387180ef_09810693_5170a02e_ff065451_06800881; -defparam bootram.RAM4.INIT_33=256'h0b80082b_04f33f81_0533800c_0880e4ba_04c03f80_0c833d0d_b33f7180_278438f5; -defparam bootram.RAM4.INIT_34=256'h88800b82_82e0980c_983f800b_0d7d56f9_0c04f63d_80082b80_a93f810b_800c04ff; -defparam bootram.RAM4.INIT_35=256'h0c8aa80b_0b82e090_980c88a8_810b82e0_82e0800c_0c7c882b_0b82e084_e0900c8b; -defparam bootram.RAM4.INIT_36=256'he0900cf8_8a800b82_82e0900c_3888800b_762780d3_55805473_f8e73f7e_82e0900c; -defparam bootram.RAM4.INIT_37=256'h57905370_76753152_085b883d_5a82e080_82e08408_e0880859_8c085882_cc3f82e0; -defparam bootram.RAM4.INIT_38=256'h39721454_811252ec_81055734_70337570_38711751_71732791_70538052_73278338; -defparam bootram.RAM4.INIT_39=256'h0d80538c_8c0cfd3d_3f8c0802_7251f789_04803d0d_0c8c3d0d_0b82e098_ffa93980; -defparam bootram.RAM4.INIT_3A=256'h028c0cfd_0c048c08_853d0d8c_70800c54_de3f8008_05085182_528c0888_088c0508; -defparam bootram.RAM4.INIT_3B=256'h8c0c048c_54853d0d_0870800c_82b93f80_88050851_08528c08_8c088c05_3d0d8153; -defparam bootram.RAM4.INIT_3C=256'h308c0888_08880508_25ab388c_88050880_050c8c08_0b8c08fc_f93d0d80_08028c0c; -defparam bootram.RAM4.INIT_3D=256'h8c08fc05_08f40508_f4050c8c_810b8c08_05088838_0c8c08fc_8c08f405_050c800b; -defparam bootram.RAM4.INIT_3E=256'h8c08fc05_08f0050c_0c800b8c_8c088c05_8c050830_ab388c08_05088025_0c8c088c; -defparam bootram.RAM4.INIT_3F=256'h8c088805_8c050852_80538c08_08fc050c_f005088c_050c8c08_0b8c08f0_08883881; -defparam bootram.RAM5.INIT_00=256'h308c08f8_08f80508_2e8c388c_fc050880_0c548c08_8c08f805_3f800870_085181a7; -defparam bootram.RAM5.INIT_01=256'h08fc050c_0d800b8c_8c0cfb3d_048c0802_3d0d8c0c_800c5489_f8050870_050c8c08; -defparam bootram.RAM5.INIT_02=256'h088c0508_fc050c8c_810b8c08_0888050c_0508308c_388c0888_08802593_8c088805; -defparam bootram.RAM5.INIT_03=256'h51ad3f80_08880508_0508528c_538c088c_8c050c81_08308c08_8c088c05_80258c38; -defparam bootram.RAM5.INIT_04=256'h8c08f805_08f8050c_0508308c_388c08f8_08802e8c_8c08fc05_f8050c54_08708c08; -defparam bootram.RAM5.INIT_05=256'h8c08f805_050c800b_0b8c08fc_fd3d0d81_08028c0c_8c0c048c_54873d0d_0870800c; -defparam bootram.RAM5.INIT_06=256'h05082499_0b8c088c_2ea33880_fc050880_ac388c08_88050827_05088c08_0c8c088c; -defparam bootram.RAM5.INIT_07=256'h0508802e_398c08fc_fc050cc9_08108c08_8c08fc05_088c050c_0508108c_388c088c; -defparam bootram.RAM5.INIT_08=256'h0888050c_0508318c_088c088c_8c088805_0826a138_8c088805_088c0508_80c9388c; -defparam bootram.RAM5.INIT_09=256'h8c088c05_08fc050c_08812a8c_8c08fc05_08f8050c_0508078c_088c08fc_8c08f805; -defparam bootram.RAM5.INIT_0A=256'hf4050c51_08708c08_8c088805_802e8f38_08900508_ffaf398c_088c050c_08812a8c; -defparam bootram.RAM5.INIT_0B=256'h3d0d7877_8c0c04fc_0c853d0d_f4050880_0c518c08_8c08f405_f8050870_8d398c08; -defparam bootram.RAM5.INIT_0C=256'h74337433_ff2ea038_ff125271_802eb038_83065170_38747407_8372278c_79565652; -defparam bootram.RAM5.INIT_0D=256'h0b800c86_06e23880_ff2e0981_54555571_8115ff14_bd388115_2e098106_52537271; -defparam bootram.RAM5.INIT_0E=256'h26e93870_54517183_14fc1454_38841184_0981068f_0873082e_74545170_3d0d0474; -defparam bootram.RAM5.INIT_0F=256'h278c3872_55558f72_797b5555_3d0d7670_3d0d04fc_31800c86_af397271_735555ff; -defparam bootram.RAM5.INIT_10=256'h5634ff12_74708105_81055433_98387270_5271ff2e_a738ff12_5170802e_75078306; -defparam bootram.RAM5.INIT_11=256'h530c7270_71708405_84055408_74517270_863d0d04_3874800c_098106ea_5271ff2e; -defparam bootram.RAM5.INIT_12=256'h71708405_84055408_530c7270_71708405_84055408_530c7270_71708405_84055408; -defparam bootram.RAM5.INIT_13=256'h12527183_05530cfc_08717084_70840554_27953872_c9388372_52718f26_530cf012; -defparam bootram.RAM5.INIT_14=256'h38748306_8372278a_57555355_059f0533_7971028c_fc3d0d76_54ff8339_26ed3870; -defparam bootram.RAM5.INIT_15=256'h8106ef38_71ff2e09_34ff1252_70810555_93387373_5271ff2e_a238ff12_5170802e; -defparam bootram.RAM5.INIT_16=256'h70840553_a5387271_518f7227_2b075154_07707190_74882b75_3d0d0474_74800c86; -defparam bootram.RAM5.INIT_17=256'hdd388372_52718f26_530cf012_71708405_05530c72_72717084_8405530c_0c727170; -defparam bootram.RAM5.INIT_18=256'h7c705455_3d0d787a_ff9039fa_f2387053_52718326_530cfc12_71708405_27903872; -defparam bootram.RAM5.INIT_19=256'h71337433_ff2eb138_ff135372_2e80d438_06517080_71740783_2e80d938_55527280; -defparam bootram.RAM5.INIT_1A=256'h128115ff_80fc3881_5170802e_7081ff06_2e818738_a9387280_2e098106_56517471; -defparam bootram.RAM5.INIT_1B=256'h31515252_ff067171_ff067581_56517081_71337433_8106d138_72ff2e09_15555552; -defparam bootram.RAM5.INIT_1C=256'h9739fc13_765552ff_2e883874_71087408_73278838_74575583_3d0d0471_70800c88; -defparam bootram.RAM5.INIT_1D=256'h38841584_5151709a_81800651_70f88482_fdff1206_7009f7fb_b1387408_5372802e; -defparam bootram.RAM5.INIT_1E=256'h0d04fd3d_800c883d_df39800b_765552fe_2ed03874_74087608_7327d038_17575583; -defparam bootram.RAM5.INIT_1F=256'he4d45281_b0863f80_b0ea3fff_efcc0cff_9e387380_5472812e_e4940854_0d800b80; -defparam bootram.RAM5.INIT_20=256'h8151ffb7_80e4d452_ffafe93f_ffb0cd3f_80efcc0c_f6a33f72_3f800851_51ffb7e3; -defparam bootram.RAM5.INIT_21=256'h38702dfc_70ff2e91_70085252_dc0bfc05_3d0d80e4_00ff39ff_51f6863f_c63f8008; -defparam bootram.RAM5.INIT_22=256'h21457272_00000040_f83f0400_0404ffb0_38833d0d_098106f1_5270ff2e_12700852; -defparam bootram.RAM5.INIT_23=256'h3a204578_646c6572_2068616e_636b6574_6c207061_6e74726f_6e20636f_6f722069; -defparam bootram.RAM5.INIT_24=256'h25642c20_62657220_206e756d_6c697479_74696269_6f6d7061_65642063_70656374; -defparam bootram.RAM5.INIT_25=256'h6c207061_6e74726f_6e20636f_6f722069_21457272_25640a00_676f7420_62757420; -defparam bootram.RAM5.INIT_26=256'h6164206c_61796c6f_65642070_70656374_3a204578_646c6572_2068616e_636b6574; -defparam bootram.RAM5.INIT_27=256'h206c696e_0a657468_0a000000_74202564_7420676f_2c206275_68202564_656e6774; -defparam bootram.RAM5.INIT_28=256'h50204e32_0a555352_640a0000_203d2025_70656564_643a2073_616e6765_6b206368; -defparam bootram.RAM5.INIT_29=256'h70617469_20636f6d_46504741_720a0000_6f616465_6f6f746c_44502062_31302055; -defparam bootram.RAM5.INIT_2A=256'h20636f6d_77617265_4669726d_640a0000_723a2025_756d6265_7479206e_62696c69; -defparam bootram.RAM5.INIT_2B=256'h00000000_61646472_640a0000_723a2025_756d6265_7479206e_62696c69_70617469; -defparam bootram.RAM5.INIT_2C=256'h00000690_00000000_65743a20_7061636b_65727920_65636f76_69702072_476f7420; -defparam bootram.RAM5.INIT_2D=256'h000006d5_000006ec_00000785_00000785_00000785_00000785_00000785_00000785; -defparam bootram.RAM5.INIT_2E=256'h00000785_00000785_00000785_00000785_00000785_0000075b_00000785_00000785; -defparam bootram.RAM5.INIT_2F=256'h00000749_0000073c_00000735_0000072e_00000729_00000724_0000069d_00000709; -defparam bootram.RAM5.INIT_30=256'h25642e25_45000000_01b200d9_05160364_14580a2c_3fff0000_0050c285_c0a80a02; -defparam bootram.RAM5.INIT_31=256'hffffffff_00000000_43444546_38394142_34353637_30313233_2e256400_642e2564; -defparam bootram.RAM5.INIT_32=256'h6f66206c_656e7420_69676e6d_6420616c_3a206261_5f706b74_73656e64_ffff0000; -defparam bootram.RAM5.INIT_33=256'h6661696c_6f6e3a20_636f6d6d_6e65745f_66000000_72206275_6e642f6f_656e2061; -defparam bootram.RAM5.INIT_34=256'h00000000_666f7220_696e6720_6c6f6f6b_63686520_74206361_6f206869_65642074; -defparam bootram.RAM5.INIT_35=256'h0a000000_3d202564_697a6520_72642073_20776569_6172703a_646c655f_0a68616e; -defparam bootram.RAM5.INIT_36=256'h2025640a_3a202564_67746873_206c656e_74656e74_6e736973_696e636f_55445020; -defparam bootram.RAM5.INIT_37=256'h61666520_696e2073_50322b20_20555352_74696e67_53746172_0b0b0b0b_00000000; -defparam bootram.RAM5.INIT_38=256'h00000000_6172652e_69726d77_66652066_67207361_6164696e_2e204c6f_6d6f6465; -defparam bootram.RAM5.INIT_39=256'h6e204650_6374696f_726f6475_69642070_2076616c_20666f72_6b696e67_43686563; -defparam bootram.RAM5.INIT_3A=256'h20465047_74696f6e_6f647563_64207072_56616c69_2e2e2e00_6d616765_47412069; -defparam bootram.RAM5.INIT_3B=256'h20626f6f_6720746f_7074696e_7474656d_642e2041_666f756e_61676520_4120696d; -defparam bootram.RAM5.INIT_3C=256'h20696d61_46504741_696f6e20_64756374_2070726f_616c6964_4e6f2076_742e0000; -defparam bootram.RAM5.INIT_3D=256'h20627569_6820746f_726f7567_67207468_6c6c696e_2e0a4661_6f756e64_67652066; -defparam bootram.RAM5.INIT_3E=256'h74696f6e_6f647563_64207072_56616c69_72652e00_726d7761_6e206669_6c742d69; -defparam bootram.RAM5.INIT_3F=256'h46696e69_2e2e2e00_64696e67_204c6f61_756e642e_6520666f_6d776172_20666972; -defparam bootram.RAM6.INIT_00=256'h2e000000_6d616765_6e672069_61727469_2e205374_64696e67_206c6f61_73686564; -defparam bootram.RAM6.INIT_01=256'h72616d21_70726f67_61696e20_6f6d206d_6e206672_65747572_523a2052_4552524f; -defparam bootram.RAM6.INIT_02=256'h4e6f2076_6e210000_61707065_65722068_206e6576_6f756c64_73207368_20546869; -defparam bootram.RAM6.INIT_03=256'h6e642e20_20666f75_77617265_6669726d_696f6e20_64756374_2070726f_616c6964; -defparam bootram.RAM6.INIT_04=256'h6669726d_2d696e20_75696c74_746f2062_75676820_7468726f_696e6720_46616c6c; -defparam bootram.RAM6.INIT_05=256'h4e4f4e45_00000000_2025640a_7420746f_64207365_53706565_2e000000_77617265; -defparam bootram.RAM6.INIT_06=256'h43000000_45545249_53594d4d_58000000_57455f52_58000000_57455f54_00000000; -defparam bootram.RAM6.INIT_07=256'h4155544f_5048595f_6c3a2000_6e74726f_7720636f_20666c6f_726e6574_65746865; -defparam bootram.RAM6.INIT_08=256'h780a0000_20307825_20676f74_7825782c_74652030_2077726f_4144563a_4e45475f; -defparam bootram.RAM6.INIT_09=256'h64617465_6e207570_6f722069_21457272_00030203_00000001_00030003_00000000; -defparam bootram.RAM6.INIT_0A=256'h796c6f61_64207061_65637465_20457870_6c65723a_68616e64_6b657420_20706163; -defparam bootram.RAM6.INIT_0B=256'h0000203f_00000000_2025640a_20676f74_20627574_2025642c_6e677468_64206c65; -defparam bootram.RAM6.INIT_0C=256'h000020e5_000020e5_000020e5_0000205e_00002080_00002095_000020e5_000020e5; -defparam bootram.RAM6.INIT_0D=256'h000020e5_000020e5_000020e5_000020e5_000020e5_000020e5_000020e5_000020e5; -defparam bootram.RAM6.INIT_0E=256'h6f72740a_0a0a6162_000020b1_00002070_000020e5_000020e5_000020db_000020c4; -defparam bootram.RAM6.INIT_0F=256'h65000000_792e6578_64756d6d_43444546_38394142_34353637_30313233_00000000; -defparam bootram.RAM6.INIT_10=256'h00003264_00000000_00000000_00000000_ffffff00_ffff00ff_ff00ffff_00ffffff; -defparam bootram.RAM6.INIT_11=256'h000b0000_0018000f_ffff0031_05050400_01010100_3fff0000_0050c285_c0a80a02; -defparam bootram.RAM6.INIT_12=256'h00000000_ffffffff_000031f4_10101200_000030d4_000030cc_000030c4_000030bc; -defparam bootram.RAM6.INIT_13=256'h00000000_00000000_00000000_00000000_00000000_00000000_00000000_ffffffff; +defparam bootram.RAM2.INIT_11=256'he0d80c73_d40c7081_3f7181e0_5151ffa9_3179712b_0752a075_3871820a_70802e86; +defparam bootram.RAM2.INIT_12=256'h55558053_76787a54_04fc3d0d_0c863d0d_08517080_3f81b880_8938ff95_5173802e; +defparam bootram.RAM2.INIT_13=256'h7183ffff_802e8d38_902a5170_51ee3971_81155553_70227305_38721015_7274278f; +defparam bootram.RAM2.INIT_14=256'h3f767008_b851aed9_755280e5_3d0d8653_3d0d04fd_71800c86_0552ec39_0672902a; +defparam bootram.RAM2.INIT_15=256'h8025f338_12525270_0c8812ff_89518072_80e5c852_04ff3d0d_54853d0d_80e5c00c; +defparam bootram.RAM2.INIT_16=256'h12881252_2e8e3881_22547274_c4525270_800b80e5_96052253_fd3d0d02_833d0d04; +defparam bootram.RAM2.INIT_17=256'hc73f8008_06535856_7183ffff_3d0d787a_3d0d04fa_70800c85_ee388051_52897225; +defparam bootram.RAM2.INIT_18=256'h55527180_73088815_c4555555_c80b80e5_800880e5_050cad39_76800884_802e8938; +defparam bootram.RAM2.INIT_19=256'hf13d0d86_883d0d04_7684140c_3f757323_eb389bee_55897525_15881454_2e8f3881; +defparam bootram.RAM2.INIT_1A=256'h3f908002_0551ad99_52913ddc_923d8805_a83f7353_055254ad_53923dd6_7054933d; +defparam bootram.RAM2.INIT_1B=256'h8405aa05_81808002_0b8c3d23_a6052380_80028405_0b8b3d23_23818a80_8405a205; +defparam bootram.RAM2.INIT_1C=256'hfdb73f80_3de40551_538a5291_5d665e80_ae052368_80028405_0b8d3d23_2380c091; +defparam bootram.RAM2.INIT_1D=256'h028405be_913d2380_0523800b_028405ba_23963d22_3d22903d_ae052398_08028405; +defparam bootram.RAM2.INIT_1E=256'h805b800b_04e83d0d_3f913d0d_05519df1_2981e684_526980c0_913dd405_0523ac53; +defparam bootram.RAM2.INIT_1F=256'hf83f0280_f80551ab_b8529a3d_865380e5_51ac863f_9a3df205_539b3d52_973d2386; +defparam bootram.RAM2.INIT_20=256'h436e44a1_1143f005_0b9b3dc4_08585a80_3f800880_0523f7e2_840580e2_f2052202; +defparam bootram.RAM2.INIT_21=256'h56845875_06408c3d_088305fc_085fa33d_6e5ea13d_845c905d_3d084659_3d0845a3; +defparam bootram.RAM2.INIT_22=256'h9a387383_5473802e_760c7508_27843873_5a557375_71315156_7c319080_08701a78; +defparam bootram.RAM2.INIT_23=256'h08527651_08539416_efe63f75_80dccc51_802e8838_83065473_38941608_0654738c; +defparam bootram.RAM2.INIT_24=256'h78822a51_3880c059_78bf2684_25ffac38_59577780_0817ff19_70840557_9cc33f75; +defparam bootram.RAM2.INIT_25=256'h840580ca_055a7902_237f1f94_800b943d_4040818a_3d0d6b6e_3d0d04ea_f6e83f9a; +defparam bootram.RAM2.INIT_26=256'h02840580_963d2380_80075a79_236980c0_0580ce05_80800284_953d2381_0523800b; +defparam bootram.RAM2.INIT_27=256'h840580d2_095a7902_e03f8008_70525cfa_8a52933d_68478053_e5c00846_d2052380; +defparam bootram.RAM2.INIT_28=256'hf7c23f7a_80dcf851_5a799238_0881ff06_8ac83f80_70535c5e_7053983d_0523913d; +defparam bootram.RAM2.INIT_29=256'h7b1d7c1f_8053805c_557b5490_6b575d94_6d596058_39027f5a_edd53fa9_51f6b63f; +defparam bootram.RAM2.INIT_2A=256'h05228a3d_7f5802ae_04f73d0d_3f983d0d_ef38fd89_5c867c26_7b34811c_5b5b7933; +defparam bootram.RAM2.INIT_2B=256'h88548b3d_77567e55_05a60523_23800284_57768b3d_05238818_028405a2_238d3d22; +defparam bootram.RAM2.INIT_2C=256'h0523860b_028405b2_3d239080_0d810b8e_0d04ee3d_9e3f8b3d_527d51fe_f8055391; +defparam bootram.RAM2.INIT_2D=256'h0551a8b5_52943dec_86538008_23ea9c3f_8405b605_05348102_028405b5_8f3d3484; +defparam bootram.RAM2.INIT_2E=256'h3feacf3f_0551a9b2_52943df6_3f865380_0551a8a5_52943df2_84538008_3feaeb3f; +defparam bootram.RAM2.INIT_2F=256'h5a80dcc4_805b7a1c_54908653_943de405_80569c55_80588057_025c8059_80080843; +defparam bootram.RAM2.INIT_30=256'h5f5d7d90_088e1122_3d0daa3d_3d0d04d9_fbcb3f94_7b26ef38_811b5b86_1b337a34; +defparam bootram.RAM2.INIT_31=256'ha851f5a0_795280dd_9b268d38_055b5b79_088429f2_901dac3d_06829d38_862e0981; +defparam bootram.RAM2.INIT_32=256'hd438841b_09810686_7990802e_821b225a_0686e238_812e0981_7a225a79_3f86ee39; +defparam bootram.RAM2.INIT_33=256'h52408885_389e1d70_810686b9_79812e09_861b225a_0686c638_842e0981_225a798c; +defparam bootram.RAM2.INIT_34=256'h085c8008_a5e73f80_ffa80551_c052a93d_845380e5_3f800843_525f87fd_3fa81d70; +defparam bootram.RAM2.INIT_35=256'h80fe0523_22028405_3d23821b_3f7a22a1_7951a6c5_80e5b852_3d5a8653_868f38a7; +defparam bootram.RAM2.INIT_36=256'ha93de405_86537952_81820523_82028405_81810534_33028405_3d34851b_841b33a2; +defparam bootram.RAM2.INIT_37=256'h7a51a5f5_53981d52_8e055b86_843f0281_05525aa6_53aa3dea_8470547f_51a6923f; +defparam bootram.RAM2.INIT_38=256'h7c597c58_3f027c5a_7e51a5dd_86537a52_3f9e3d5f_0551a5e9_52a93df4_3f79537f; +defparam bootram.RAM2.INIT_39=256'hef38f999_5c867c26_7b34811c_5b5b7933_7b1d7f1d_05547d53_55a93ddc_7c575d9c; +defparam bootram.RAM2.INIT_3A=256'h810684d1_60842e09_2a435b5b_7022708c_e438901d_09810684_7d90802e_3f84ee39; +defparam bootram.RAM2.INIT_3B=256'hb4387e5e_065f7e84_2280ffff_c038861b_09810684_5a79852e_708f0651_3879882a; +defparam bootram.RAM2.INIT_3C=256'h535b5ca3_e5c05470_1c625580_815e7e90_80088338_51a3f63f_c452821d_865380dc; +defparam bootram.RAM2.INIT_3D=256'h33821c22_b83f891b_9c1d5184_38881d52_802e8481_7d87387b_8338815c_e03f8008; +defparam bootram.RAM2.INIT_3E=256'h11225d5d_08a41f84_8c1b087a_0683de38_912e0981_81bb387f_407f812e_ec11405d; +defparam bootram.RAM2.INIT_3F=256'h535d5df5_1d821d22_39ac1de4_ef3f83bd_ddc851f1_537d5280_2e8f3879_42407d7a; +defparam bootram.RAM3.INIT_00=256'h527951a3_5a88537d_3d993d5f_237f499a_7a22993d_2e83a638_42800880_c33f8008; +defparam bootram.RAM3.INIT_01=256'h05527951_a93dffb4_60478853_22973d23_c83f821b_527f51a3_40885379_d43f9c3d; +defparam bootram.RAM3.INIT_02=256'h337b3481_1f5b5b79_5c7b1d7c_7e843d5e_7b567c55_51a3aa3f_5379527d_a3b33f88; +defparam bootram.RAM3.INIT_03=256'h8405085a_26ef3861_1b5b887b_051c3481_79330284_5b7f1b5a_26ef3880_1c5c887c; +defparam bootram.RAM3.INIT_04=256'h39811a33_bb388295_7d882e81_832e8a38_405b427d_a41e7033_398c1b08_792d82ad; +defparam bootram.RAM3.INIT_05=256'hf4387c22_09810681_5c79912e_12335c5e_80c01e89_a238ac1d_09810681_5a79832e; +defparam bootram.RAM3.INIT_06=256'h3d5c5e88_4b983d9b_9b3d2379_085a7c22_fe388c1c_08802e80_80084180_51f4813f; +defparam bootram.RAM3.INIT_07=256'h3d23794d_821d229d_901c085a_51a2823f_537d527f_963d4088_51a28e3f_537a527d; +defparam bootram.RAM3.INIT_08=256'h5e5c7b1d_557e843d_3f7e567e_7d51a1e1_88537a52_51a1ea3f_cc05527a_8853a93d; +defparam bootram.RAM3.INIT_09=256'h811b5b88_84051c34_5a793302_805b7f1b_7c26ef38_811c5c88_79337b34_7c1f5b5b; +defparam bootram.RAM3.INIT_0A=256'h3d347e02_5d5d7e95_ac1de41d_3f80de39_e951e598_5a792d80_60840508_7b26ef38; +defparam bootram.RAM3.INIT_0B=256'h05237e53_840580d2_861a2202_22963d23_0523841a_840580ce_05347e02_840580cd; +defparam bootram.RAM3.INIT_0C=256'h840580ce_095a7902_c03f8008_527c51f1_537b812a_cc3f8008_70525bf1_6052943d; +defparam bootram.RAM3.INIT_0D=256'h94085553_800b80e6_04fc3d0d_3fa93d0d_6151f5f7_7a537f52_7c557d54_05237b56; +defparam bootram.RAM3.INIT_0E=256'h72518b39_81068538_70752e09_8c135351_56517108_80e69c54_38767008_727427a4; +defparam bootram.RAM3.INIT_0F=256'h3f800880_5755ffb9_77797153_04fb3d0d_0c863d0d_ff517080_7326e738_81135373; +defparam bootram.RAM3.INIT_10=256'h0680e698_08811187_3980e698_e6940c8e_38811480_73872689_e6940854_25ba3880; +defparam bootram.RAM3.INIT_11=256'h80081080_14519439_5280e6a0_54865375_9c120c51_760880e6_1470822b_0c547310; +defparam bootram.RAM3.INIT_12=256'hd83f8054_0d7551fe_0d04fd3d_a43f873d_a005519f_842980e6_53755273_08055486; +defparam bootram.RAM3.INIT_13=256'h81547380_519efa3f_a0055276_842980e6_54865373_10800805_99388008_73800824; +defparam bootram.RAM3.INIT_14=256'h07831633_70882b72_07821433_2b71902b_12337198_75703381_04fd3d0d_0c853d0d; +defparam bootram.RAM3.INIT_15=256'h387383ff_595776a8_e6fc2256_0d7d7f80_0d04f93d_5452853d_52535456_7107800c; +defparam bootram.RAM3.INIT_16=256'h51547674_80e78005_14709029_38739029_832680d3_52565473_22707231_ff068b3d; +defparam bootram.RAM3.INIT_17=256'h88538a3d_90291554_26ad3874_57547483_70723157_068d3d22_7383ffff_2380c039; +defparam bootram.RAM3.INIT_18=256'h9d3f8116_547451e3_17703353_27913875_80567578_519dea3f_80e78005_52739029; +defparam bootram.RAM3.INIT_19=256'h800b8288_54807323_80e78054_fc23800b_052280e6_3d0d029a_3d0d04fc_56ec3989; +defparam bootram.RAM3.INIT_1A=256'h837427d9_90145454_3f811482_0551ef9b_e6fc2274_b5ae5280_828c140c_140c800b; +defparam bootram.RAM3.INIT_1B=256'h38758288_567581be_70810651_7c2c8132_805a5c84_800b80e7_04f43d0d_38863d0d; +defparam bootram.RAM3.INIT_1C=256'h800881ff_2e80c538_3f8008ff_7b51e2e2_1a88055b_80d63878_7981ff26_1a085b5d; +defparam bootram.RAM3.INIT_1D=256'h38815d77_76802e83_59515858_25075351_80257180_32703072_7030728d_06708a32; +defparam bootram.RAM3.INIT_1E=256'h27ffb138_5a81ff7a_1a0c811a_800b828c_82881a0c_19088105_5d348288_7b708105; +defparam bootram.RAM3.INIT_1F=256'h78225675_7627bf38_1b0c568b_8111828c_828c1908_387c9138_802e80d2_82881908; +defparam bootram.RAM3.INIT_20=256'h81185888_75337734_781a5757_5b58771a_800b833d_55881954_82881908_802eab38; +defparam bootram.RAM3.INIT_21=256'h82901a5a_1a0c811c_800b828c_82881a0c_a83f800b_7c0551f2_80e6fc22_7826ef38; +defparam bootram.RAM3.INIT_22=256'h0284059d_94ba3f80_c0526851_70545780_3d0d883d_3d0d04ea_fea9388e_5c837c27; +defparam bootram.RAM3.INIT_23=256'h51547381_74167033_81069438_81aa2e09_2e9d3873_547381ff_17703351_05575574; +defparam bootram.RAM3.INIT_24=256'hf93d0d86_983d0d04_5473800c_27d13880_1555be75_548b3981_06853881_992e0981; +defparam bootram.RAM3.INIT_25=256'h09810683_8008752e_5199ca3f_ddec5273_55845380_93ea3f80_84527951_3d705454; +defparam bootram.RAM3.INIT_26=256'h81ff0655_c23f8008_8dd73f8a_04fc3d0d_81e0940c_0d04810b_800c893d_38815574; +defparam bootram.RAM3.INIT_27=256'h74b53880_51818339_3880ddf0_51547388_70810651_08708d2a_3f81b8b4_805189c1; +defparam bootram.RAM3.INIT_28=256'h89873f82_c33f8151_ded451de_2e9a3880_3f800880_0a51febf_d73fb080_dea851de; +defparam bootram.RAM3.INIT_29=256'h802ebb38_e33f8008_800a51fe_80cc3998_80df8c51_5184b53f_3fb0800a_ac51e2f6; +defparam bootram.RAM3.INIT_2A=256'h3f82ac51_8451de80_bf3f80e0_800a5192_ffff5298_80805380_de963f83_80dfd851; +defparam bootram.RAM3.INIT_2B=256'he451dddc_883980e0_5183e93f_e2a83f80_3f82ac51_a851ddf0_e53f80e0_e2b83ffe; +defparam bootram.RAM3.INIT_2C=256'h51dc8b3f_3fa052a0_5254e6c8_5380e1b0_3d0d7570_c00c04fd_047180ef_3f863d0d; +defparam bootram.RAM3.INIT_2D=256'h80efc008_51dbef3f_0da05280_0d04fe3d_722d853d_85387351_5372802e_80efc008; +defparam bootram.RAM3.INIT_2E=256'h70810651_8008862a_8d3fff0b_0d9a5189_0d04fc3d_722d843d_85388051_5372802e; +defparam bootram.RAM3.INIT_2F=256'h71828024_802e9b38_e4547182_06535580_80088680_ec38820b_71802e80_53548155; +defparam bootram.RAM3.INIT_30=256'h08528551_88c83f80_ff548451_802e8338_e8547184_388a3987_71802e8e_8a388a54; +defparam bootram.RAM3.INIT_31=256'he1e85553_efcc0c80_11337080_0780e2a8_70830672_80088a2c_882a8c06_88c03f71; +defparam bootram.RAM3.INIT_32=256'h387480ef_c4082e98_3f7480ef_5252dc88_e4c81108_2b8c0680_ef3f7182_515452db; +defparam bootram.RAM3.INIT_33=256'h7380efc8_81069638_74822e09_c13f9e39_06a338fe_812e0981_2ea63874_c40c7482; +defparam bootram.RAM3.INIT_34=256'h0dd8b03f_0d04fd3d_cd3f863d_3f995187_7351fdfb_0cfea73f_7380efc8_082e8e38; +defparam bootram.RAM3.INIT_35=256'hd63f81ae_52985187_87ac3f8d_c80c9951_ff0b80ef_80efc40c_a23f800b_80085187; +defparam bootram.RAM3.INIT_36=256'h70535484_07f49f06_80089080_51878f3f_e0f03f84_ce528451_87cd3fbb_80529c51; +defparam bootram.RAM3.INIT_37=256'h5186e33f_e3e63f80_80e28051_08537352_2e8d3880_3f738008_845186fa_5187b03f; +defparam bootram.RAM3.INIT_38=256'h872a0771_2a820671_05337085_3d0d0297_3d0d04fd_87893f85_07528051_80088480; +defparam bootram.RAM3.INIT_39=256'hff067685_07077081_a0067173_0674832b_07731090_06717307_72812a88_832a8406; +defparam bootram.RAM3.INIT_3A=256'h853d0d04_52555552_52535155_c0800c51_81ff0682_872b0770_70720778_2b80c006; +defparam bootram.RAM3.INIT_3B=256'h923f8199_81aa51ff_51ff983f_9e3f81ff_81ff51ff_d00a0753_d00a0681_fe3d0d74; +defparam bootram.RAM3.INIT_3C=256'h81ff0651_fef53f72_ff065252_882a7081_ff813f72_3f80e151_b251ff87_51ff8c3f; +defparam bootram.RAM3.INIT_3D=256'h5253fecf_7081ff06_3f72902a_2a51fedb_e23f7298_818151fe_51fee83f_feed3fb2; +defparam bootram.RAM3.INIT_3E=256'h51feb03f_feb53f80_ba3fa051_3f8e51fe_8051febf_51fec43f_ca3f81a1_3fb051fe; +defparam bootram.RAM3.INIT_3F=256'h5183ce3f_805280d0_3dfc0553_0d825487_0d04fb3d_a63f843d_3f8051fe_a051feab; +defparam bootram.RAM4.INIT_00=256'h82932690_58595777_08841208_0880d73d_0d80d53d_04ffb23d_0c873d0d_863d2280; +defparam bootram.RAM4.INIT_01=256'h2980e384_b2387584_75962681_ff9f1656_3f81bc39_b851e1b4_945280e2_38775382; +defparam bootram.RAM4.INIT_02=256'h0b81e4d0_e2cc0c80_0c810b81_0b81e18c_08085e81_d5e43f80_0480c15c_05567508; +defparam bootram.RAM4.INIT_03=256'hffff065e_3f800883_f839fef6_80c65c80_3f80085f_085e8c9d_8c993f80_0c818a39; +defparam bootram.RAM4.INIT_04=256'heff8518a_80d33980_3f80c55c_f85189f5_085280ef_08538c17_e8399017_80d65c80; +defparam bootram.RAM4.INIT_05=256'h08528c17_17539017_5cb73994_bc3980c2_3880c45c_75802e86_81ff0656_ba3f8008; +defparam bootram.RAM4.INIT_06=256'h80d25c8d_518bb93f_528c1708_53901708_3dfe8005_a43980d0_3f80d75c_085188dc; +defparam bootram.RAM4.INIT_07=256'h58771980_0b833d5a_ec055480_80d03dfd_5c829455_3f8339a0_8051fcf8_3980d35c; +defparam bootram.RAM4.INIT_08=256'h0d04803d_3f80d03d_8251e8bd_ec388380_58887826_77348118_57577533_d23d7905; +defparam bootram.RAM4.INIT_09=256'h2b075757_05337188_028405ab_02a70533_3ff93d0d_ff518397_51d68d3f_0d80e3e0; +defparam bootram.RAM4.INIT_0A=256'h74ff1656_5a575758_7a7c7f7f_04f83d0d_3f893d0d_8051e1aa_75538152_82559854; +defparam bootram.RAM4.INIT_0B=256'h538a3dfc_a1053482_33028405_70810558_8a3d3476_17575473_b7387581_54807425; +defparam bootram.RAM4.INIT_0C=256'h81547380_8538c139_3f73802e_8a51da86_81ff0654_d83f8008_ff0651d8_05527781; +defparam bootram.RAM4.INIT_0D=256'h3dfc0553_34815488_5675883d_748338dc_5580de56_02a30533_04fa3d0d_0c8a3d0d; +defparam bootram.RAM4.INIT_0E=256'h3dfc0552_34815389_0533893d_7c5702ab_04f93d0d_3f883d0d_d051ff89_81f75280; +defparam bootram.RAM4.INIT_0F=256'h76537b52_77259738_2e9e3880_56547380_81ff0670_f83f8008_705256d7_02a70533; +defparam bootram.RAM4.INIT_10=256'h3d0d8154_3d0d04fa_74800c89_83388155_5473802e_ff067056_3f800881_7551d6bb; +defparam bootram.RAM4.INIT_11=256'h83388156_2e098106_567480de_883d3356_a03f800b_80d051ff_5381f752_883dfc05; +defparam bootram.RAM4.INIT_12=256'h0b81c0b0_ac0c89b0_a60b81c0_81c0800c_0c80eb0b_0b81c094_3d0d0499_75800c88; +defparam bootram.RAM4.INIT_13=256'h0870812a_0c81c0a4_0b81c0a0_980c5182_810781c0_be800670_0d72882b_0c04803d; +defparam bootram.RAM4.INIT_14=256'h70810781_2bbe8006_3d0d7288_3d0d0480_08800c82_3881c0a8_515170f1_70810651; +defparam bootram.RAM4.INIT_15=256'h70f13882_06515151_812a7081_c0a40870_c0a00c81_0c840b81_7381c09c_c0980c51; +defparam bootram.RAM4.INIT_16=256'h72830652_52718a38_38758306_57577191_83065555_787a7c72_39fa3d0d_3d0d04ff; +defparam bootram.RAM4.INIT_17=256'h7008720c_77117712_3873822b_73752794_2a725555_ca3f7282_38815188_71802e86; +defparam bootram.RAM4.INIT_18=256'h515353d1_ec113354_8f0680e3_70842a70_fe3d0d74_883d0d04_1454e939_52545281; +defparam bootram.RAM4.INIT_19=256'h2a708106_90087088_3d0d82e0_3d0d0480_d1ba3f84_11335253_0680e3ec_c73f728f; +defparam bootram.RAM4.INIT_1A=256'h80075353_060780c0_067a8c80_337880ff_0d029305_0d04fe3d_f138823d_51515170; +defparam bootram.RAM4.INIT_1B=256'hff0682e0_900c7581_0c7182e0_7682e080_5170f138_81065151_70882a70_82e09008; +defparam bootram.RAM4.INIT_1C=256'h515170f1_70810651_0870882a_3882e090_72802e96_900c7251_800782e0_980c7182; +defparam bootram.RAM4.INIT_1D=256'h53805280_55885480_940c8880_810b82e0_04fc3d0d_0c843d0d_08517080_3882e080; +defparam bootram.RAM4.INIT_1E=256'h81ff0680_f13f8008_528151fe_8a805381_80559054_fc3d0d88_863d0d04_51ff873f; +defparam bootram.RAM4.INIT_1F=256'h0dca3f80_0d04803d_d53f863d_528051fe_54865381_88805588_04fc3d0d_0c863d0d; +defparam bootram.RAM4.INIT_20=256'h3d0d04fb_2ef43882_06517080_800881ff_3d0deb3f_3d0d0480_06800c82_08813281; +defparam bootram.RAM4.INIT_21=256'h9b0a0753_fe9b0a06_55a05475_b43f8880_38dd3fff_8008269b_84e33f75_3d0d7756; +defparam bootram.RAM4.INIT_22=256'h80557381_11565757_cb3d08ff_c93d0880_ba3d0d80_3d0d04ff_fe843f87_81528051; +defparam bootram.RAM4.INIT_23=256'h548c8f3f_883d7052_5381ff52_a7388280_80082681_849f3f73_38751754_ff2681b4; +defparam bootram.RAM4.INIT_24=256'h0b82e090_980c8880_3f7482e0_d43ffd9f_fefd3ffe_518aea3f_3d085273_755380cb; +defparam bootram.RAM4.INIT_25=256'ha00b82e0_e0900c8a_88a00b82_82e0980c_800c810b_0a0782e0_0a0680c0_0c76fec0; +defparam bootram.RAM4.INIT_26=256'h880c54fe_700882e0_54fe8415_82e08c0c_80157008_558f56fe_3f80c83d_900cfcef; +defparam bootram.RAM4.INIT_27=256'h0b82e090_900c8a80_800b82e0_800c5488_700882e0_54fe8c15_82e0840c_88157008; +defparam bootram.RAM4.INIT_28=256'hc83d0d04_74800c80_980c8155_800b82e0_25ffbc38_56567580_ff169016_0cfcb03f; +defparam bootram.RAM4.INIT_29=256'h2e80c338_81577480_2680cb38_57738008_82db3f80_575a5656_7b7d7212_f93d0d79; +defparam bootram.RAM4.INIT_2A=256'h7551fdeb_77537352_83387654_57767527_74317555_a2388280_5473802e_7581ff06; +defparam bootram.RAM4.INIT_2B=256'h39fd8c3f_828054dc_7527e138_74548280_802e8e38_57595674_19767631_3f731674; +defparam bootram.RAM4.INIT_2C=256'h3f800874_135481ed_2e8d3873_54557380_76787a56_04fc3d0d_0c893d0d_81577680; +defparam bootram.RAM4.INIT_2D=256'h16565152_707406ff_3f800830_a63981cb_0c80750c_800b8416_0b88160c_27903880; +defparam bootram.RAM4.INIT_2E=256'h3d0d7554_3d0d04fd_fcc93f86_160c7151_160c7188_0c740684_08307276_81bd3f80; +defparam bootram.RAM4.INIT_2F=256'h823f8814_2e943881_08841508_81538814_802e9f38_70545271_0881ff06_fc983f80; +defparam bootram.RAM4.INIT_30=256'h5481f90a_888055a0_04fc3d0d_0c853d0d_80537280_51fc943f_7088160c_08800805; +defparam bootram.RAM4.INIT_31=256'h38d73f80_efd008a0_ff3d0d80_863d0d04_0a06800c_8008fe80_51faa33f_53815281; +defparam bootram.RAM4.INIT_32=256'h80efd008_80efd00c_06933871_a02e0981_54515170_0881ff06_81ff0680_08882a70; +defparam bootram.RAM4.INIT_33=256'h800c04f3_e4c20533_3f800880_3d0d04c0_71800c83_38f5b33f_82712784_ea115252; +defparam bootram.RAM4.INIT_34=256'h800b82e0_56f9983f_f63d0d7d_2b800c04_810b8008_04ffa93f_082b800c_3f810b80; +defparam bootram.RAM4.INIT_35=256'h88a80b82_82e0980c_800c810b_882b82e0_e0840c7c_0c8b0b82_0b82e090_980c8880; +defparam bootram.RAM4.INIT_36=256'h900c8a80_800b82e0_80d33888_54737627_3f7e5580_900cf8e7_a80b82e0_e0900c8a; +defparam bootram.RAM4.INIT_37=256'h883d7675_e080085b_84085a82_085982e0_5882e088_82e08c08_0cf8cc3f_0b82e090; +defparam bootram.RAM4.INIT_38=256'h57348112_75708105_17517033_27913871_80527173_83387053_53707327_31525790; +defparam bootram.RAM4.INIT_39=256'h08028c0c_f7893f8c_3d0d7251_3d0d0480_e0980c8c_39800b82_1454ffa9_52ec3972; +defparam bootram.RAM4.INIT_3A=256'h0d8c0c04_0c54853d_80087080_5182de3f_08880508_0508528c_538c088c_fd3d0d80; +defparam bootram.RAM4.INIT_3B=256'h800c5485_3f800870_085182b9_8c088805_8c050852_81538c08_0cfd3d0d_8c08028c; +defparam bootram.RAM4.INIT_3C=256'h388c0888_088025ab_8c088805_08fc050c_0d800b8c_8c0cf93d_048c0802_3d0d8c0c; +defparam bootram.RAM4.INIT_3D=256'h0c8c08f4_8c08f405_8838810b_08fc0508_f4050c8c_800b8c08_0888050c_0508308c; +defparam bootram.RAM4.INIT_3E=256'h0b8c08f0_8c050c80_08308c08_8c088c05_8025ab38_088c0508_fc050c8c_05088c08; +defparam bootram.RAM4.INIT_3F=256'h8c088c05_050c8053_088c08fc_8c08f005_08f0050c_38810b8c_fc050888_050c8c08; +defparam bootram.RAM5.INIT_00=256'h388c08f8_08802e8c_8c08fc05_f8050c54_08708c08_81a73f80_88050851_08528c08; +defparam bootram.RAM5.INIT_01=256'hfb3d0d80_08028c0c_8c0c048c_54893d0d_0870800c_8c08f805_08f8050c_0508308c; +defparam bootram.RAM5.INIT_02=256'h8c08fc05_050c810b_308c0888_08880508_2593388c_88050880_050c8c08_0b8c08fc; +defparam bootram.RAM5.INIT_03=256'h528c0888_088c0508_0c81538c_8c088c05_8c050830_8c388c08_05088025_0c8c088c; +defparam bootram.RAM5.INIT_04=256'h308c08f8_08f80508_2e8c388c_fc050880_0c548c08_8c08f805_3f800870_050851ad; +defparam bootram.RAM5.INIT_05=256'h08fc050c_0d810b8c_8c0cfd3d_048c0802_3d0d8c0c_800c5487_f8050870_050c8c08; +defparam bootram.RAM5.INIT_06=256'h38800b8c_08802ea3_8c08fc05_0827ac38_8c088805_088c0508_f8050c8c_800b8c08; +defparam bootram.RAM5.INIT_07=256'h0cc9398c_8c08fc05_fc050810_050c8c08_108c088c_088c0508_2499388c_088c0508; +defparam bootram.RAM5.INIT_08=256'h088c0508_8805088c_a1388c08_88050826_05088c08_388c088c_802e80c9_08fc0508; +defparam bootram.RAM5.INIT_09=256'h2a8c08fc_fc050881_050c8c08_078c08f8_08fc0508_f805088c_050c8c08_318c0888; +defparam bootram.RAM5.INIT_0A=256'h88050870_8f388c08_0508802e_398c0890_050cffaf_2a8c088c_8c050881_050c8c08; +defparam bootram.RAM5.INIT_0B=256'h3d0d8c0c_08800c85_8c08f405_f4050c51_08708c08_8c08f805_0c518d39_8c08f405; +defparam bootram.RAM5.INIT_0C=256'h5271ff2e_b038ff12_5170802e_74078306_278c3874_56528372_78777956_04fc3d0d; +defparam bootram.RAM5.INIT_0D=256'h098106e2_5571ff2e_ff145455_81158115_8106bd38_72712e09_74335253_a0387433; +defparam bootram.RAM5.INIT_0E=256'h14545451_118414fc_068f3884_082e0981_51700873_04747454_0c863d0d_38800b80; +defparam bootram.RAM5.INIT_0F=256'h55555555_7670797b_04fc3d0d_0c863d0d_72713180_55ffaf39_38707355_718326e9; +defparam bootram.RAM5.INIT_10=256'h54337470_72708105_ff2e9838_ff125271_802ea738_83065170_38727507_8f72278c; +defparam bootram.RAM5.INIT_11=256'h54087170_72708405_0d047451_800c863d_06ea3874_ff2e0981_ff125271_81055634; +defparam bootram.RAM5.INIT_12=256'h72708405_8405530c_54087170_72708405_8405530c_54087170_72708405_8405530c; +defparam bootram.RAM5.INIT_13=256'h70840553_05540871_38727084_83722795_8f26c938_f0125271_8405530c_54087170; +defparam bootram.RAM5.INIT_14=256'h53558372_05335755_028c059f_0d767971_8339fc3d_387054ff_718326ed_0cfc1252; +defparam bootram.RAM5.INIT_15=256'h125271ff_055534ff_73737081_ff2e9338_ff125271_802ea238_83065170_278a3874; +defparam bootram.RAM5.INIT_16=256'h7227a538_5154518f_71902b07_2b750770_04747488_0c863d0d_ef387480_2e098106; +defparam bootram.RAM5.INIT_17=256'hf0125271_8405530c_0c727170_70840553_530c7271_71708405_05530c72_72717084; +defparam bootram.RAM5.INIT_18=256'h39fa3d0d_7053ff90_8326f238_fc125271_8405530c_38727170_83722790_8f26dd38; +defparam bootram.RAM5.INIT_19=256'h5372ff2e_d438ff13_70802e80_07830651_d9387174_72802e80_54555552_787a7c70; +defparam bootram.RAM5.INIT_1A=256'h802e80fc_ff065170_87387081_72802e81_8106a938_74712e09_74335651_b1387133; +defparam bootram.RAM5.INIT_1B=256'h7581ff06_7081ff06_74335651_d1387133_2e098106_555272ff_15ff1555_38811281; +defparam bootram.RAM5.INIT_1C=256'h38747655_74082e88_88387108_55837327_04717457_0c883d0d_52527080_71713151; +defparam bootram.RAM5.INIT_1D=256'h06515151_84828180_120670f8_f7fbfdff_74087009_802eb138_fc135372_52ff9739; +defparam bootram.RAM5.INIT_1E=256'h800b800c_52fedf39_38747655_76082ed0_d0387408_55837327_15841757_709a3884; +defparam bootram.RAM5.INIT_1F=256'h3fffb080_0cffb0e4_7380efd4_812e9e38_08545472_0b80e49c_fd3d0d80_883d0d04; +defparam bootram.RAM5.INIT_20=256'he33f80e4_c73fffaf_d40cffb0_3f7280ef_0851f6a3_b7dd3f80_528151ff_3f80e4dc; +defparam bootram.RAM5.INIT_21=256'h525270ff_fc057008_80e4e40b_39ff3d0d_863f00ff_800851f6_ffb7c03f_dc528151; +defparam bootram.RAM5.INIT_22=256'h04000000_ffb0f23f_3d0d0404_06f13883_ff2e0981_08525270_2dfc1270_2e913870; +defparam bootram.RAM5.INIT_23=256'h2068616e_636b6574_6c207061_6e74726f_6e20636f_6f722069_21457272_00000040; +defparam bootram.RAM5.INIT_24=256'h206e756d_6c697479_74696269_6f6d7061_65642063_70656374_3a204578_646c6572; +defparam bootram.RAM5.INIT_25=256'h6e20636f_6f722069_21457272_25640a00_676f7420_62757420_25642c20_62657220; +defparam bootram.RAM5.INIT_26=256'h65642070_70656374_3a204578_646c6572_2068616e_636b6574_6c207061_6e74726f; +defparam bootram.RAM5.INIT_27=256'h0a000000_74202564_7420676f_2c206275_68202564_656e6774_6164206c_61796c6f; +defparam bootram.RAM5.INIT_28=256'h640a0000_203d2025_70656564_643a2073_616e6765_6b206368_206c696e_0a657468; +defparam bootram.RAM5.INIT_29=256'h46504741_720a0000_6f616465_6f6f746c_44502062_31302055_50204e32_0a555352; +defparam bootram.RAM5.INIT_2A=256'h4669726d_640a0000_723a2025_756d6265_7479206e_62696c69_70617469_20636f6d; +defparam bootram.RAM5.INIT_2B=256'h640a0000_723a2025_756d6265_7479206e_62696c69_70617469_20636f6d_77617265; +defparam bootram.RAM5.INIT_2C=256'h65743a20_7061636b_65727920_65636f76_69702072_476f7420_00000000_61646472; +defparam bootram.RAM5.INIT_2D=256'h00000785_00000785_00000785_00000785_00000785_00000785_00000690_00000000; +defparam bootram.RAM5.INIT_2E=256'h00000785_00000785_00000785_0000075b_00000785_00000785_000006d5_000006ec; +defparam bootram.RAM5.INIT_2F=256'h00000735_0000072e_00000729_00000724_0000069d_00000709_00000785_00000785; +defparam bootram.RAM5.INIT_30=256'h01b200d9_05160364_14580a2c_3fff0000_0050c285_c0a80a02_00000749_0000073c; +defparam bootram.RAM5.INIT_31=256'h43444546_38394142_34353637_30313233_2e256400_642e2564_25642e25_45000000; +defparam bootram.RAM5.INIT_32=256'h69676e6d_6420616c_3a206261_5f706b74_73656e64_ffff0000_ffffffff_00000000; +defparam bootram.RAM5.INIT_33=256'h636f6d6d_6e65745f_66000000_72206275_6e642f6f_656e2061_6f66206c_656e7420; +defparam bootram.RAM5.INIT_34=256'h696e6720_6c6f6f6b_63686520_74206361_6f206869_65642074_6661696c_6f6e3a20; +defparam bootram.RAM5.INIT_35=256'h697a6520_72642073_20776569_6172703a_646c655f_0a68616e_00000000_666f7220; +defparam bootram.RAM5.INIT_36=256'h67746873_206c656e_74656e74_6e736973_696e636f_55445020_0a000000_3d202564; +defparam bootram.RAM5.INIT_37=256'h50322b20_20555352_74696e67_53746172_0b0b0b0b_00000000_2025640a_3a202564; +defparam bootram.RAM5.INIT_38=256'h69726d77_66652066_67207361_6164696e_2e204c6f_6d6f6465_61666520_696e2073; +defparam bootram.RAM5.INIT_39=256'h726f6475_69642070_2076616c_20666f72_6b696e67_43686563_00000000_6172652e; +defparam bootram.RAM5.INIT_3A=256'h6f647563_64207072_56616c69_2e2e2e00_6d616765_47412069_6e204650_6374696f; +defparam bootram.RAM5.INIT_3B=256'h7074696e_7474656d_642e2041_666f756e_61676520_4120696d_20465047_74696f6e; +defparam bootram.RAM5.INIT_3C=256'h696f6e20_64756374_2070726f_616c6964_4e6f2076_742e0000_20626f6f_6720746f; +defparam bootram.RAM5.INIT_3D=256'h726f7567_67207468_6c6c696e_2e0a4661_6f756e64_67652066_20696d61_46504741; +defparam bootram.RAM5.INIT_3E=256'h64207072_56616c69_72652e00_726d7761_6e206669_6c742d69_20627569_6820746f; +defparam bootram.RAM5.INIT_3F=256'h64696e67_204c6f61_756e642e_6520666f_6d776172_20666972_74696f6e_6f647563; +defparam bootram.RAM6.INIT_00=256'h6e672069_61727469_2e205374_64696e67_206c6f61_73686564_46696e69_2e2e2e00; +defparam bootram.RAM6.INIT_01=256'h61696e20_6f6d206d_6e206672_65747572_523a2052_4552524f_2e000000_6d616765; +defparam bootram.RAM6.INIT_02=256'h61707065_65722068_206e6576_6f756c64_73207368_20546869_72616d21_70726f67; +defparam bootram.RAM6.INIT_03=256'h77617265_6669726d_696f6e20_64756374_2070726f_616c6964_4e6f2076_6e210000; +defparam bootram.RAM6.INIT_04=256'h75696c74_746f2062_75676820_7468726f_696e6720_46616c6c_6e642e20_20666f75; +defparam bootram.RAM6.INIT_05=256'h2025640a_7420746f_64207365_53706565_2e000000_77617265_6669726d_2d696e20; +defparam bootram.RAM6.INIT_06=256'h53594d4d_58000000_57455f52_58000000_57455f54_00000000_4e4f4e45_00000000; +defparam bootram.RAM6.INIT_07=256'h6c3a2000_6e74726f_7720636f_20666c6f_726e6574_65746865_43000000_45545249; +defparam bootram.RAM6.INIT_08=256'h20676f74_7825782c_74652030_2077726f_4144563a_4e45475f_4155544f_5048595f; +defparam bootram.RAM6.INIT_09=256'h6f722069_21457272_00030203_00000001_00030003_00000000_780a0000_20307825; +defparam bootram.RAM6.INIT_0A=256'h65637465_20457870_6c65723a_68616e64_6b657420_20706163_64617465_6e207570; +defparam bootram.RAM6.INIT_0B=256'h2025640a_20676f74_20627574_2025642c_6e677468_64206c65_796c6f61_64207061; +defparam bootram.RAM6.INIT_0C=256'h000020eb_00002064_00002086_0000209b_000020eb_000020eb_00002045_00000000; +defparam bootram.RAM6.INIT_0D=256'h000020eb_000020eb_000020eb_000020eb_000020eb_000020eb_000020eb_000020eb; +defparam bootram.RAM6.INIT_0E=256'h000020b7_00002076_000020eb_000020eb_000020e1_000020ca_000020eb_000020eb; +defparam bootram.RAM6.INIT_0F=256'h64756d6d_43444546_38394142_34353637_30313233_00000000_6f72740a_0a0a6162; +defparam bootram.RAM6.INIT_10=256'h00000000_00000000_ffffff00_ffff00ff_ff00ffff_00ffffff_65000000_792e6578; +defparam bootram.RAM6.INIT_11=256'hffff0031_05050400_01010100_3fff0000_0050c285_c0a80a02_0000326c_00000000; +defparam bootram.RAM6.INIT_12=256'h000031fc_10101200_000030dc_000030d4_000030cc_000030c4_000b0000_0018000f; +defparam bootram.RAM6.INIT_13=256'h00000000_00000000_00000000_00000000_00000000_ffffffff_00000000_ffffffff; defparam bootram.RAM6.INIT_14=256'h00000000_00000000_00000000_00000000_00000000_00000000_00000000_00000000; defparam bootram.RAM6.INIT_15=256'h00000000_00000000_00000000_00000000_00000000_00000000_00000000_00000000; defparam bootram.RAM6.INIT_16=256'h00000000_00000000_00000000_00000000_00000000_00000000_00000000_00000000; diff --git a/usrp2/top/N2x0/u2plus_core.v b/usrp2/top/N2x0/u2plus_core.v index 7defdb37b..e2a19d294 100644 --- a/usrp2/top/N2x0/u2plus_core.v +++ b/usrp2/top/N2x0/u2plus_core.v @@ -448,7 +448,7 @@ module u2plus_core // Buffer Pool Status -- Slave #5 //compatibility number -> increment when the fpga has been sufficiently altered - localparam compat_num = {16'd9, 16'd0}; //major, minor + localparam compat_num = {16'd10, 16'd0}; //major, minor wire [31:0] irq_readback = {18'b0, button, spi_ready, clk_status, serdes_link_up, 10'b0}; @@ -515,7 +515,7 @@ module u2plus_core wire [31:0] srb_debug; wire srb_clear; - settings_readback_bus_fifo_ctrl #(.PROT_DEST(3), .NUM_PERFS(1)) srb + settings_readback_bus_fifo_ctrl #(.PROT_DEST(3)) srb ( .clock(dsp_clk), .reset(dsp_rst), .clear(srb_clear), .vita_time(vita_time), .perfs_ready(spi_ready), diff --git a/usrp2/top/USRP2/u2_core.v b/usrp2/top/USRP2/u2_core.v index 120b8c888..63509906c 100644 --- a/usrp2/top/USRP2/u2_core.v +++ b/usrp2/top/USRP2/u2_core.v @@ -456,7 +456,7 @@ module u2_core // Buffer Pool Status -- Slave #5 //compatibility number -> increment when the fpga has been sufficiently altered - localparam compat_num = {16'd9, 16'd0}; //major, minor + localparam compat_num = {16'd10, 16'd0}; //major, minor wire [31:0] irq_readback = {19'b0, spi_ready, clk_status, serdes_link_up, 10'b0}; @@ -523,7 +523,7 @@ module u2_core wire [31:0] srb_debug; wire srb_clear; - settings_readback_bus_fifo_ctrl #(.PROT_DEST(3), .NUM_PERFS(1)) srb + settings_readback_bus_fifo_ctrl #(.PROT_DEST(3)) srb ( .clock(dsp_clk), .reset(dsp_rst), .clear(srb_clear), .vita_time(vita_time), .perfs_ready(spi_ready), |
